毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc

上传人:爱问知识人 文档编号:3948061 上传时间:2019-10-10 格式:DOC 页数:56 大小:9.07MB
返回 下载 相关 举报
毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc_第1页
第1页 / 共56页
毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc_第2页
第2页 / 共56页
毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc_第3页
第3页 / 共56页
毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc_第4页
第4页 / 共56页
毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc_第5页
第5页 / 共56页
点击查看更多>>
资源描述

《毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-基于TTL门电路扩频码发生器仿真设计与电路实现.doc(56页珍藏版)》请在三一文库上搜索。

1、沈阳理工大学学士学位论文摘 要本毕业设计主要研究了三种扩频码(m序列,M序列和Gold序列)特性及其发生装置,并对其进行仿真和电路实现。扩频码是实现扩频系统的扩频运算的主要方式。从理论上讲,用纯随机序列来扩展信号的频谱是最理想的,但是接收端必须复制同一个随机序列,由于随机序列的不可复制性,因此在工程中,无法使用纯的随机序列,而改为采用为随机序列。在本论文中首先简要阐述了伪随机序列的研究现状及其相关意义,接着介绍了伪随机序列的发展历史,研究方法和研究工具。然后根据课题要求分别设计出三种常用的伪随机序列发生器电路并且用Multisim进行电路仿真,最后做出实际电路,进行测试,从而完成发生器的设计。

2、关键字:扩频码;m序列;M序列;Gold序列AbstractThis graduation design is mainly studied the three kinds of spread spectrum code (m sequence, M sequence and Gold sequence) characteristics and generator, and carries on the simulation and circuit implementation. Spread spectrum code is the main way to realize spread sp

3、ectrum system spread spectrum operations. Theoretically, with pure random sequence to extend the signal spectrum is the most ideal, but the receiver must replicate the same random sequence, as a result of random sequence is replication, therefore, in the project cant use pure random sequence, and in

4、stead used in random sequence. In this thesis, first of all, briefly expounds the research status quo of pseudo-random sequence and its related meaning, then introduces the development history of pseudo-random sequence, research methods and research tools. Then according to the requirements of proje

5、ct design three kinds of commonly used respectively pseudo-random sequence generator circuit and use Multisim circuit simulation, finally make a practical circuit and test, so as to complete the design of the generator.Key words: Spread spectrum code; m sequence; M sequence; Gold sequence目 录摘 要IAbst

6、ractII1绪 论11.1课题研究意义及背景11.2国内外研究状况21.3扩频码发展历史41.4仿真工具Multisim简介52m序列伪随机码72.1伪随机码72.1.1伪随机码定义72.1.2伪随机码性质72.2m序列定义82.3m序列伪随机码的性质82.3.1平衡性92.3.2移位可加性92.3.3游程特性(游程分布的随机性)92.3.4自相关特性92.4m序列的产生方法102.5m序列仿真设计实现123M序列伪随机码143.1M序列定义143.2M序列随机码性质143.2.1M序列的随机特性143.2.2M序列相关特性153.3M序列的产生方法153.4M序列仿真设计实现184Gold

7、序列伪随机码224.1Gold序列定义224.2m序列优选对224.3Gold序列伪随机码性质224.3.1平衡性224.3.2自相关特性234.3.3互相关特性234.4Gold序列产生方法234.5Gold序列仿真设计实现245硬件调试结果26结 论29致 谢30参考文献31附录A 英文原文32附录B 中文翻译39附录C 元器件表50521 绪 论1.1 课题研究意义及背景随着信息化社会进程的不断深入,通信在人们的生活中扮演着愈发重要的角色,对通信技术的要求也随之越来越高。在无线通信的应用方面,信道在传播过程中存在不同形式的干扰且无孔不入,这便使得信道具备开放性的特点,而这些例如工业、大气

8、、人为,乃至其他通信设备等中的干扰会使无线通信的传播更为困难。在无线通信应用于军事的过程中,对立双方只有在信息和电磁的争夺中处于优势地位才会获得电子战争的胜利,那么无线通信的干扰环境无疑会变得更加恶劣。然而随着人们对无线通信要求的进一步加深以及技术手段方面的不断成熟,现代化抗干扰技术显得更加完善,主要包括:扩频技术、自适应技术、猝发通信技术、分集技术、自适应滤波技术等,而在其中应用最为广泛且最为实用的便是扩频技术。扩频技术作为一种抗干扰的通信方式,一方面能够有效解决无线通信系统中的多址问题,具有抗干扰能力强,保密性好的特点;另一方面,由于扩频系统中的扩频码可以将信号频谱进行扩展,导致扩频系统与

9、扩频码间的性能联系紧密。同时,军事需求在扩频技术发展上的重要意义亦不容忽视。人们在电磁技术方面干扰与抗干扰之间的斗争,被我们叫做通信电子战或通信对抗。在历次的战争中电子战和通信电子战的胜负都决定着战争的关键,是否能取得制信息权直接决定着战争的胜负。如今是信息时代,信息化战争是未来高技术战争的发展方向和必然趋势,在电磁领域敌对双方在侦查与反侦察、干扰与抗干扰、欺骗与反欺骗、摧毁与反摧毁方面的较量,是争夺制信息权的非常重要的部分。所以扩频技术的出现,不但首先被应用于军事领域,而且扩频技术的发展与成熟,为军事抗干扰通信提供了有力支撑14。扩频通信作为一门成熟的技术,在通信及其他领域有着广泛的应用。扩

10、频技术作为抗干扰通信的主要技术手段,得到了普遍的应用。扩展频谱通信是伪随机编码也就是扩频序列将待传送的信息数据调制,实现频谱扩展以后再放到信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。扩频技术可以把频谱很窄的基带信号变成频谱很宽的基带信号再进行传输,可以扩大很多倍,在利用相关接收的技术在接收端接到信号后,从扩展后的信号中恢复出原来的信号,以达到防止信号传输过程中被干扰的情况发生。与常规的信号传输方式相比,常用的方式通常把信号带宽变窄,并在接收端用窄带滤波的方法,以此来提升信号的信噪比

11、,并能成功滤除其他干扰提高信号质量。当传输信号的带宽加宽的同时,在传输中受到干扰的因素越多,但是,香农用他的信息论相关理论证明了事实恰恰相反,扩频通信最能抵抗干扰,是无线通信系统中多址、抗干扰、提升保密性的有效途径之一。扩频码又称为信道化码,它可以分别出属于同一地方的不同传输连接用于区分来自同一小区的不同传输连接,我们分别从上行和下行讨论扩频码,可以看出,在下行扩频码区别了不同的链路连接,在上行扩频码区别了同终端的不同物理连接。1.2 国内外研究状况扩频技术的研究开始是基于军事领域的应用,一开始就是十分神秘的。最早有谁提出扩频的概念已经很难考证,但其发展经历了一个漫长的过程,从开始进行研究到成

12、熟应用,大约的时间段为20世纪初至20世纪60年代。直至目前,扩频技术仍处于不断发展的过程中。早在20世纪20年代中期诞生的RADAR9(Radio Detection And Ranging),一个利用回波证实电离层存在的系统,发射的频率宽度大于用于收听回波的声音频谱宽度,就具备了今天我们所说的扩频系统的基本特征。到第二次世界大战结束时,德国研制的线性调频脉冲压缩系统(即Kugelschale)和脉冲-脉冲频率跳变系统(Reisslaus)均应用了频谱扩展技术,类似的系统在20世纪40年代中后期才在美国出现。20世纪40年代中期,由Van及Vleck和Middleton等人提出的利用匹配滤波

13、器实现最大信噪比的脉冲检测公式,表明在白噪声条件下,最佳信号检测性能仅取决于信号能量与白噪声功率谱密度之比,这使得满足其他要求的设计准则(如LPI和AJ等),仅通过选择波形就可以达到。在20世纪40年代,好莱坞女演员赫蒂(Hedy K.Markey)第一个提出利用跳频扩谱技术来实现抗干扰通信系统的构想。20世纪50年代,伍德华特(P.M.Woodward)发现:在雷达测距和测速中,采用白噪声信号,其测量误差最小,这为扩频技术的应用开辟了道路。在20世纪50年代,美国麻省理工学院研究成功的NOMAC系统(Noise Modulation and Correlation System),成为扩频通

14、信研究发展的开端,从此,军事通信部门对军事通信、空间探测、卫星侦查、导弹制导等方面广泛应用扩频通信方式的研究就十分活跃了。在20世纪60年代初,美国开始将扩频通信应用于军事卫星,大约在20世纪60年代中期,Magnavox公司研制成功频谱展宽话音调制解调器MX-170C,用于VRC-12型超短波电台,其频率为3076MHz。该电台装置了这个扩频终端后,大大提高了抗干扰能力,这个电台可在敌方干扰比传输的伪噪声信号比传输信号高20倍的情况下进行通信。从国内来看,近十多年来,出于民用通信特别是陆地移动通信的迅速发展,扩频通信的研究也在不断深入。各大高校及一些科研机构都在进行这方面的工作,如清华大学、

15、天津大学、北京邮电大学、西安电子科技大学、南京邮电大学等都在积极地开展扩频通信系统的研究。目前研究的次最优多用户检测器主要可分为两大类:线性检测器和反馈检测器。前者包括解相关检测器、最小均方误差序列检测器等;后者则包括多级检测器、判决反馈检测器、顺序干扰撤销和并行干扰撤销检测器等。考虑信道编码的多用户接收机又可以分为非迭代接收机和迭代接收机。这些检测器的实现都需要知道预期用户的扩频码、定时信息以及信道冲击响应,有时还需要知道多用户干扰。这些信息可以通过发送扩频序列获得,但使用扩序列就降低了系统的频谱利用效率,因此不使用扩频序列的多用户检测方法,又称为盲多用户检测器也得到深入研究。迄今为止,人们

16、获得的伪随机序列仍主要是PC(相控)序列,移位寄存器序列(m和M序列),Gold序列,GMW序列,级联GMW序列,Kasami序列,Bent序列,No序列15。其中m序列是最有名和最简单的,也是研究的最透彻的序列。m序列还是研究其它序列的基础。它序列平衡,有最好的自相关特性,但互相关满足一定条件的族序列数很少(对于本原多项式的阶数小于等于13的m序列,互为优选对的序列数不多于6),且线性复杂度很小。M序列族序列数极其巨大(当寄存器级数等于6时,有226个序列)。但其生成困难,且其互相关特性目前知之甚少,一般很少用。Gold序列互相关函数为3值,序列部分平衡,有良好的相关特性,族序列数相对较大,

17、但它有致命的弱点,线性复杂度很低,仅是相同长度的m序列的两倍,这制约了Gold序列的广泛应用,特别在抗干扰及密码学中的应用。GMW序列具有序列平衡,线性复杂度大,自相关性能好(同m序列)等优点。它是非线性序列,且数量比m序列多。作为单个序列,GMW序列具有较大优势,但满足一定互相关条件的序列数在一族GMW序列中不多。一般不用于多址通信作地址码。级联GMW序列平衡性和相关性同于GMW序列,在族数上比GMW序列多,在线性复杂度上比GMW序列大。Kasami序列分为小集Kasami序列和大集Kasami序列。小集Kasami序列族序列数很大,且互相关值能够达到welch下界;大集Kasami序列族序

18、列数虽然非常大,但其互相关较小集Kasami序列为差。它们的序列是不平衡的,线性复杂度不大(但比m, Gold序列稍大),这就是它们共同的弱点。在80年代初,Bent序列被构造出来,它的优点有具有序列平衡,相关值达welch下界,族序列数多和线性复杂度大等。它在整个80年代,90年代被广泛应用,也是目前综合性能最好的伪随机序列。但Bent序列仍然存在缺陷:构造较难,未有满足一定要求的快速算法。No序列是80年代末构造出来的一种新型伪随机序列,线性复杂度很大是它的突出优点,且相关值可达到welch下界,族序列数多,但存在序列不平衡的缺陷。1.3 扩频码发展历史由1948年之前的纯粹理论研究阶段、

19、1948年到1969年的m序列研究的黄金阶段和1969年至今的非线性生成器的研究阶段这三个阶段组成了伪随机序列的理论与应用研究。在二十世纪四十年代末期纯粹理论研究阶段,由于伪随机序列的有没的数学结构致使学者们纷纷研究伪随机序列的理论。在1894年最早开始研究,De Brui in序列被作为一个组合问题而研究;在二十世纪30年代,人们把研究重心转移到环上的线性地柜序列。直到1948年的Shannon信息论的诞生才得以改变这一状态。在通信和密码学等重要技术领域,伪随机序列也被许多人运用。“一次一密”是无条件安全的这被Shannon所证明,无条件保密的密码体制要求进行保密通信的密钥量至少与明文量相同

20、大小。所以在之后很长时间,研究者们一直把具有足够长周期的伪随机序列作为研究对象。二十世纪五十年代早期的热点研究问题转移到怎样产生这样的序列。在这个时期研究最充足的是线性反馈移位寄存器(LFSR)序列,这是由于周期为2n-1的最大的长度序列是由一个n级LFSR产生的,而且n级LFSR具有满足Golomb随机性假设的随机特性,通常把它称为m序列。这段时间的研究为LFSR序列的一些基本理论以及经典结论奠定了一定基础。但是,文章“移位寄存器综合与BCH译码”在二十世纪七十年代被Massey发表,导致了序列研究方向的改变,从这以后在构造非线性序列生成器的阶段介入了伪随机序列的研究。由B-M算法(Berl

21、ekamp-Massey算法)可知,如果n作为序列的线性复杂度,则使全部的序列的恢复就只需要2n个连续比特。从这个结果可以知道,由于m序列的线性度特别小,所以m序列是一种“极差”的序列,所以流密码系统的密钥流序列不能直接用m序列做。从这里知道仅仅依靠Golomb的三个随机性假设对序列进行评测是不行的,还需要用到其它的一些指标。从这以后一直到今天,密码学界的研究者们为了找到构造“好”的伪随机序列的方法而一直努力奋斗。1.4 仿真工具Multisim简介计算机仿真软件NI Multisim10是美国国家仪器公司(NI公司)推出的最新版本。Multisim本是加拿大图像交互技术公司(Interact

22、ive Image Technoligics简称IIT公司)推出的以Windows为基础的仿真工具,被美国NI公司收购后,更名为NI Multisim ,而V10.0是其(即NI,National Instruments)最新推出的Multisim最新版本。目前美国NI公司的EWB的包含有电路仿真设计的模块Multisim、PCB设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim 4个部分,能完成从电路的仿真设计到电路版图生成的全过程。Multisim、Ultiboard、Ultiroute及Commsim 4个部分相互独立,可以分别使用。Multis

23、im、Ultiboard、Ultiroute及Commsim 4部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。美国国家仪器公司(NI公司)推出一款最新版本的计算机仿真软件NI Multisim10。Multisim作为一个完整的设计工具系统,为我们提供了数量庞大的元件数据库,并且提供原理输入接口、全部的数模SPICE(Simulation Program with Integrated Circuit

24、 Emphasis)仿真功能、VHDL设计接口与仿真功能、FPGA/CPLD综合、RF射频设计能力和后处理功能,数据传输。为了满足使用者们的设计需求,它提供单一的,容易使用的图形输入接口。Multisim提供全部先进的设计功能,满足使用者从参数到产品设计的要求。由于程序把原理图输入、仿真和可编程逻辑紧密的结合在一起,所以设计工作时使用者们可以放心的使用并且不用在担心由于应用程序在不同供应商之间进行数据的传递时出现的问题。NI Multisim10用软件的方法虚拟电子与电工元器件以及电子与电工仪器和仪表,通过软件将元器件和仪器集合为一体。软件不仅可以进行电路设计还可以对电路功能进行测试,极其方便

25、使用者进行仿真。NI Multisim10的元器件库中拥有近千种电子电路器件可以进行仿真使用。使用者还可建立自己的元件库对元件种类进行扩展,又可以用生产厂家配置的说明手册查到各个元件的参数和使用说明,因此在工程设计中使用时非常方便。一般实验室的通用仪器如万用表、函数信号发生器、双踪示波器、直流电源等以及实验室少有或者没有的仪器,如波特图仪、数字信号发生器、逻辑分析仪、逻辑转换器、失真仪、安捷伦多用表、泰克示波器等构成了NI Multisim10的虚拟测试仪器仪表,所以NI Multisim10的虚拟测试仪器仪表种类齐全。NI Multisim10具有较为详细的的电路分析功能,可以完成电路的瞬态

26、分析等各种电路分析方法,以帮助设计人员分析电路的性能。它还可以设计、测试和演示各种电子电路,包括电工电路、模拟电路、数字电路、射频电路及部分微机接口电路等。该软件还具有强大的Help功能,其Help系统不仅包括软件本身的操作指南,更重要的是包括含有元器件功能说明。Help中这种元器件功能说明有利于使用NI Multisim10进行CAI教学。利用NI Multisim10可以实现计算机仿真设计与虚拟实验,与传统的电子电路设计与实验方法相比,具有如下特点:设计与实验可以同步进行,可以边设计边实验,修改调试方便;设计和实验用的元器件及测试仪器仪表齐全,可以完成各种类型的电路设计与实验;可方便地对电

27、路参数进行测试和分析;可直接打印输出实验数据、测试参数、曲线和电路原理图;实验中不消耗实际的元器件,实验所需元器件的种类和数量不受限制,实验成本低,实验速度快,效率高;设计和实验成功的电路可以直接在产品中使用。NI Multisim10易学易用,便于电子信息、通信工程、自动化、电气控制类专业学生自学、便于开展综合性的设计和实验,有利于培养综合分析能力、开发和创新的能力2。2 m序列伪随机码2.1 伪随机码2.1.1 伪随机码定义伪随机码(pseudo random code)简称PN码,是人为产生的一种码序列,具有可以复制的特性,还具有类似白噪声的性质。若存在一个序列,它本身是可以预知的,而且

28、人们可以对它进行复制和无限的产生如果一个序列,有拥有随机序列所具有的统计特性,我们就把这种序列叫做伪随机序列。香农编码定理指出:当信息速率Rd小于信道容量C,就可以找到一种编码的方法,使得在码字相当长的条件下,能够几乎无差错的从高斯白噪声干扰的信号中恢复出原发送信号。这里有两个条件,一是RdC,二是编码足够长。香农在证明编码定理时,提出了用具由白噪声统计特征的信号来编码。白噪声是一种随机过程,它的瞬时值服从高斯分布。高斯白噪声功率谱在很宽的频带内都是均匀分布的,它具有非常好的相关特性。白噪声是具有白噪声是一种服从正态分布,具有均匀的功率谱的随机过程。它的随机性意说明:白噪声具有无周期,无法复制

29、与预测的特点。无周期就没有办法储存,不重复就没有办法进行验证,所以不能再实际工程中运用。为了能达到实际工程运用的要求,需要引入具有相似于高斯白噪声统计特性的人工信号序列,这就是伪随机序列产生的产生根源。利用高斯白噪声所具有的统计特性的伪随机码信号来代替纯随机码的现象,在工程实践中是非常普遍的。伪随机序列是一种确定的序列,但是却是“随机”产生,在产生的过程中保持着“1”和“0”的随机性。它只有“0”和“1”两种电平编码结构它,“0”和“1”电平分别占编码的一半,拥有超强的抗干扰能力,并且具有很好的自相关特性,序列均衡性能够得以实现。所以,不论在直扩系统中的扩展信号的传递,还是在调频系统中,伪随机

30、序列的应用都十分广泛。2.1.2 伪随机码性质在扩频系统的实际运用中,一般采取伪随机码要具有如下性质:1、容易产生;2、具有随机性;3、应具有尽可能长的周期,使第三方难以从扩频码的一小段去重建整个码序列;4、扩频序列应具有双值自相关函数和良好的互相关特性,以有利于接收时的截获和跟踪,以及多用户应用;理想的随机序列在工程上无法应用,所以实际上所用的均为伪随机序列,伪随机序列要具有理想随机序列的性质,但在工程上要便于实现。随机序列所具有的性质,概括起来讲主要有以下三点:1、随机序列中的“0”的个数和“1”的个数接近相等;2、把随机序列中连续出现的“0”和“1”的子串称为游程。连续的“0”和“1”的

31、个数称为游程长度。随机序列中长度为“1”的游程约占总游程总数的1/2,长度为2的游程约占总游程数的,长度为3的游程占游程总数的。在同长度的游程中,“0”游程和“1”游程数大致相等。3、随机序列的自相关函数具有类似白噪声自相关的性质。反馈移位寄存器可以产生伪随机序列,有线性反馈寄存器和非线性反馈移位寄存器两种。最为常见的m序列是由线性反馈移位寄存器产生出的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器,m序列的理论基础已经成熟,在工程中应用非常广泛。具有n阶线性反馈移位寄存器(LFSR)最长能过产生2n-1为序列码在二进制多级移位寄存器中,若线性反馈移位寄存器(LFSR)有n 阶(即有n

32、级寄存器),则所能产生的最大长度的码序列为2n-1位。如果数字信号直接取自非翻转信号的输出,那么最长的连续0的个数为n-1个。在一个长度为n的序列中应该包含所有0和1所有可能出现的组合,而且只有将触发器初值设定好并使时钟电路产生移位时钟才能使移位寄存器产生确定的值。2.2 m序列定义m序列是由最长线性移位寄存器产生的,是一种很重要的伪随机序列,它是最早应用于扩频通信,伪随机序列的研究中m序列的研究最为成熟。此外,m序列也是研究和构造其他序列的基础。2.3 m序列伪随机码的性质2.3.1 平衡性在m序列中“1”的个数比“0”的个数多1个,且“1”的个数为2n-1个,这是由于n级移位寄存器共有2n

33、个状态,除去一个全零状态,还有2n-1个非零状态。而“0”和“1”的出现机会相等。2.3.2 移位可加性一个m序列同该序列的任意移位(循环移位)序列相加(模2加),是该序列的另一移位序列,即属于m序列。例如,周期为p的m序列mp和它的任意延迟位移后的序列mr模二相加后所得序列仍是该m序列的某个位移序列ms,即:mpmr=ms其中:ms为mp某次延迟位移后的序列。例如:mp=000111101011001,将mp延迟两位后得mr:mr=010001111010110,将mp与mr模二相加得:mpmr=ms=010110010001111,显然,mpmr=ms为mp延迟11位后的序列4。2.3.3

34、 游程特性(游程分布的随机性)周期为N=2n-1的m序列中,总共有2n-1个游程,其中长度等于k,1kn-2的游程占游程总数的12k。“0”和“1”的游程数目各占一半。长度为n-1的游程只有一个全为“0”游程,长度为n的游程也只有一个,全为“1”游程。例如:m序列an=000111101011001在4级m序列一个周期的15个元素中,共有8个游程。2.3.4 自相关特性m序列具有非常重要的自相关特性。m序列自相关函数定义为: R(j)=1Ni=0N-1aiai+j (2.1)由m序列的性质可知,一个序列Ai和其移位序列Ai+j模2加后,仍为一m序列,记为Aj,而m序列中,“1”的个数比“0”的

35、个数多1个,因此有: R(j)=1 j=0 mod N-1N j 0 mod N (2.2)由于m序列是周期函数,因此自相关函数亦为周期函数,它是以周期N重复的。弱周期m序列的每个码元宽度为Tc,其幅度值取1,则一个周期内(T=NTc)的自相关函数时域表达式为: R()=1NTcTc2Tt2ctc(t+)dt=1-N+1NTc Tc -1N Tc (2.3)其时域波形如图2.1所示。图2.1 二元m序列自相关函数波形2.4 m序列的产生方法m序列线性移位寄存器是由移位寄存器加上反馈后所产生的。如图2.2所示。图2.2中a0,a1,an-1为移位寄存器的状态,c0,c1,cn为对应级移位寄存器的

36、反馈系数。当ci=0时,表示该反馈线断开,而ci=1时,表示反馈存在,在线性移位寄存器序列产生器中,c0=cn=1。对于一个序列an,其序列多项式为: G(x)=a0+a1x+a2x2+anxn=i=0naixi (2.4)图2.2 n位线性反馈移位集群起结构其中,an取0,1两个值,符号x次幂表示序列元素的位置,式2.4又称为序列生成多项式。an和G(x)一一对应。对于由反馈移位寄存器产生的序列,取决于反馈系数,对于此反馈移位寄存器,其反馈逻辑为: F(x)=c0+c1x+c2x2+cnxn=i=0ncixi (2.5)可以证明: G(x)=1F(x) (2.6)式(2.5)称为序列的特征多

37、项式。反馈逻辑ci一旦确定,产生的序列就确定了。由n级移位寄存器产生的序列,其最大的周期为2n-1。因此,我们定义:假设在二元域上的n级线性反馈移位寄存器所产生的非零序列周期为N=2n-1我们称这个序列为n级最大周期线性移位寄存器序列,简称m序列。下面以长度(周期)为7的m序列为例说明m序列的产生过程。如图2.3所示,长度等于7的m序列(简称7位m序列)产生电路的逻辑框图。图2.3 m序列产生逻辑框图在CP时钟脉冲的作用下移存器的状态不断变化,每输出一位,经图2.4所示流程产生新的一位。表2.1移位寄存器变化状态。表2.1 移位寄存器变化状态CP012345678a0100111010a100

38、1110110a2011101101假设移存器的初始状态为a0=1, a1=0,a2=0。由表2.1可见,在第7个时钟脉冲时移存器的状态又回到初始状态,这说明此序列的长度等于7。如果移存器的初始状态为全0,即a0=0, a1=0,a2=0。则此状态在时钟脉冲作用下不会改变。即全0初始状态下产生的序列为全0序列。非全0状态下,移存器状态变化的顺序由图2.4表示。图2.4 移存器状态变化图其中图3.4圆圈中的数字与a0, a1,a2相对应。上述7位码m序列产生器由三级移位寄存器组成,每一级移位寄存器有两个可能状态(0,1),三级移位寄存器的所有可能状态为种:000,001,010,011,100,

39、101,110,111。其中全0状态不能进入m序列产生器的移存器,否则将出现全0序列。由此可见,三级移存器组成的线性反馈电路所产生的序列周期不会超过23-1=7种。一般情况下,由n级移存器组成的线性反馈电路所产生的序列周期不会超过2n-1。2.5 m序列仿真设计实现产生7位m伪随机码发生器,输出相对码,要求输出的波形没有毛刺和抖动,波形稳定效果好。要产生7位伪随机码,根据M=2n-1=7,所以n=3,需要3个D触发器,在时钟信号触发下,第三个D触发器输出端产生1110010的7位伪随机绝对码。如图2.5所示,利用D触发器的延时作用,进而完成设计。图2.5 m序列发生器仿真电路图图2.6 m序列

40、输出波形图仿真输出的波形如图2.6所示。经过使用multisim进行仿真,最上方波形为7为伪随机绝对码,中间的为7位伪随机相对码,波形无延时,稳定。达到实验设计要求。3 M序列伪随机码3.1 M序列定义由移位寄存器产生的码长周期为2n最长非线性序列,我们称之为M序列M序列是由移位寄存器产生的最长非线性序列,M以达到n级移位寄存器所能达到的最长周期,所以又称为全长序列。M序列是在m序列的基础上,加入一个全为“0”的状态。m序列已包含了2n-1个非零状态,缺少一个由n个“0”组成的全0状态。因此由m序列构成M序列时,只要在适当的位置插入一个“0”状态,即可实现码长为2n-1的m序列,向码长为2n的

41、M序列转换。显然,“0”状态应该插在100000之后,使之出现全“0”状态,同时还必须使“0”状态的后续状态为原m序列下一个状态,即0001。所以必须对原m序列的反馈逻辑进行修正。由此输出的M序列的逻辑为x1,x2,xn-1(即000),加入反馈逻辑项后,反馈逻辑为: f(x1,x2,xn)=f0(x1,x2,xn)+x1x2xn-1 (3.1)M序列不仅比m序列的在相同级数移位寄存器的长度多一位,而且产生的序列数远远超过了m序列,故M序列在实际中应用较为广泛。目前对非线性移位寄存器的研究尚未找到足够有效的数学工具及系统的研究方法,随着科学技术的发展,这个科学难题将会得以解决5。3.2 M序列

42、随机码性质3.2.1 M序列的随机特性1、在一个周期2n内,序列中的“0”和“1”的个数相等;2、在长为N2n的M序列中,“0”与“1”的个数相同,即各占一半为。这是因为M序列经历了n级移位寄存器的所有状态。从M序列的构成法中,由m序列到M序列的过程也可以清楚地看出这一点,M序列地载漏比m序列小得多。3、在一个周期中,共有2n-1个游程,其中同样长度的“0”游程和“1”的个数相等。当1kn-2时游程长度为k的游程数占总游程数的2-k,等于2n-k-1,长度为n-1的游程不存在,长度为n的游程有2个,分别为全“1”和全“0”游程。M序列的条数比m序列的条数多得多。M序列的条数(不包括平移等价序列

43、)为Nm=2n-1表4.1给出了不同级数r的m序列与M序列条数的比较。由表可以看出,当n4时,M序列比m序列多得多。当n5时,m序列为6条,而M序列有2048条,时m序列的341倍。当n8时,M序列时m序列的1.661035倍。故M序列作为地址码可以满足CDMA的要求。表3.1 m序列与M序列的条数类别公式2级3级4级5级6级7级8级9级m序列(2n-1)212266181648M序列2n-k-112162048226257212122483.2.2 M序列相关特性M序列不在具有移位相加性,因而其自相关函数不再具有双值特性,而是一个多值函数。对于周期N=2n的M序列自相关函数Rm()具有如下性

44、质:1、Rm(0)=1;2、Rm(j)=0,0jn;3、Rm(n)=1-4NW(f0);其中,W(f0)是产生M序列的反馈逻辑函数表示为: f(x1,x2,xn)=f0(x1,x2,xn)+x1 (3.2)由此可见,M序列的自相关函数为多值函数,其旁瓣为4的整倍数,远不如m序列的二值特征。M序列的相关函数是确定的,但对M序列来说,当jn时,目前尚无计算Rm()的一般公式,而只能针对具体序列通过移位比较将其自相关的值一一计算出来。不同的序列,其相关特性是不同的。M序列的互相关特性与自相关函数一样为多值函数,其值也为4的整倍数。例如:n5的M序列共2048条,其自相关函数旁瓣小于12的有882条;

45、小于等于8的有772条;小于等于4的有12条。对互相关函数值,挑选了自相关函数小于等于8的M序列共计算了六万多对,最大值Rmax=28,最小值Rmin=-32,没有找到R4的M序列对。满足R8条件的有三百多对,满足R12有三万多对。与m序列相比,M序列没有如m序列那样的移位相加特性。虽然M序列的相关特性不如m序列好,但M序列的长度比m序列多1。更为客观的是M序列的数目,是作为多值通信地址码的良好选择,因而M序列被广泛应用于扩频通信系统和其它系统之中。3.3 M序列的产生方法M序列的构造方法很多,可在m序列的基础上增加全“0”状态获得。也可用搜索的方法获得。无论何种方法,只要n级移位寄存器所有的2n个状态都经历一次,而且仅经历一次,同时要满足移位寄存的关系即可。由于m序列已包含了2n-1个非零的状态,缺少由n个“0”组成的一个全“0”状态。因此在m序列的基础上构成M序列时,只要在适当的位置插入一个零状态(n个“0”),即可使码长为2n-1的m序列增长至码长为2n的M序列。显然全零状态插入应在全“1”状态之后,使之出现全零状态,同时还必须使全零状态

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1