毕业设计(论文)-数字滤波器研究与应用.doc

上传人:西安人 文档编号:3948572 上传时间:2019-10-10 格式:DOC 页数:54 大小:4.04MB
返回 下载 相关 举报
毕业设计(论文)-数字滤波器研究与应用.doc_第1页
第1页 / 共54页
毕业设计(论文)-数字滤波器研究与应用.doc_第2页
第2页 / 共54页
毕业设计(论文)-数字滤波器研究与应用.doc_第3页
第3页 / 共54页
毕业设计(论文)-数字滤波器研究与应用.doc_第4页
第4页 / 共54页
毕业设计(论文)-数字滤波器研究与应用.doc_第5页
第5页 / 共54页
点击查看更多>>
资源描述

《毕业设计(论文)-数字滤波器研究与应用.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-数字滤波器研究与应用.doc(54页珍藏版)》请在三一文库上搜索。

1、 本科毕业论文(设计)本科毕业论文(设计) 数字滤波器研究与应用数字滤波器研究与应用 学学 院:院: * 专专 业:业:电子信息科学与技术 班班 级:级: * 学学 号:号: * 学生姓名:学生姓名: * 指导教师:指导教师: * 2011 年 5 月 28 日 贵州大学本科毕业论文(设计) 1 贵州大学本科毕业论文 诚信责任书 本人郑重声明:本人所呈交的毕业论文,是在导师的指导下独立进行研 究所完成。毕业论文中凡引用他人已经发表或未发表的成果、数据、观点等, 均已明确注明出处。 特此声明。 论文(设计)作者签名: 日 期: 贵州大学本科毕业论文(设计) I 目 录 目 录.I 摘要III A

2、BSTRACTIV 第 1 章 概论1 前言.1 1.1 数字滤波器的应用研究理论.1 1.2 数字滤波器的国内外发展趋势.2 1.3 数字滤波器设计应用的实现方法.2 1.4 本文的研究内容.3 第 2 章 数字滤波器的理论研究.4 2.1 数字滤波器的定义及结构原理.4 2.1.1 IIR 数字滤波器的基本原理结构.4 2.1.2 FIR 滤波器的基本原理结构.5 2.2 数字滤波器的设计应用研究.6 2.3 数字滤波器的性能分析.7 2.4 常用数字滤波器的设计方法分析8 2.4.1IIR 数字滤波器的设计方法介绍.8 2.4.2 FIR 数字滤波器的设计方法介绍.9 2.5 常用数字滤

3、波器设计方法理论分析.11 2.6 其他数字滤波器设计应用与研究.12 2.6.1 巴特沃斯滤波器.12 2.6.2 切比雪夫滤波器14 2.7 常用低通滤波器的研究与应用.15 2.7.1 LC 低通滤波器16 2.7.2 无源 RC 低通滤波器.16 2.7.3 有源 RC 低通滤波器.16 第 3 章 数字滤波器的设计与应用.17 3.1IIR 数字滤波器的函数设计.17 3.1.1 双线性变换法.17 3.1.2 脉冲响应不变法.21 3.1.3完全设计函数法 .23 3.2 FIR 滤波器设计分析.24 3.2.1 窗函数设计法.24 3.2.2 典型的窗函数.25 贵州大学本科毕业

4、论文(设计) II 3.2.3 FIR 滤波器滤波实例.28 3.3 语音数字滤波实例.29 3.4 常用数字滤波器的 C 语言程序设计与仿真33 3.5 本章小结.36 第 4 章数字滤波器硬件电路设计37 4.1 基于 DSP 的数字滤波器总体硬件设计方案.37 4.2 TMS320VC54x DSP 的硬件结构.37 4.3 复位电路设计.38 4.4 时钟电路设计.39 4.5 JTAG 接口设计.39 4.6 AD 转换接口电路设计.39 4.7 数字滤波器的程序设计40 第 5 章 总结.44 5.1 数字滤波器的性能总结.44 5.2 设计心得和体会.44 参考文献45 致谢.4

5、6 附件一.47 贵州大学本科毕业论文(设计) III 数字滤波器研究与应用 姓名:* 学号:PB* 学校:贵州大学 指导教师:* 摘要 当前我们正处于数字化的时代,数字信号处理技术受到了人们的广泛关注,数字滤波 器是数字信号处理中最重要的组成部分之一。数字信号处理的研究主要是用数字或符号的 序列来表示信号波形,并用数字的方式去处理这些序列,以便确定信号中的特征参量,或 者达到削弱信号中的多余分量和增强信号中的有用分量。数字滤波器具有信号滤波处理的 功能,同时具备精度高、可靠性好、便于集成等优点。本文分析了国内外数字滤波技术的 应用现状与发展趋势,介绍了数字滤波器的基本结构,研究学习了数字滤波

6、器的基本理论, 以及数字滤波器的实现方法。通过学习数字滤波器的结构、数字滤波器的设计理论,分析 了如何利用 MATLAB 仿真软件和 C 语言程序来设计出符合要求的数字滤波器,并用相关 函数设计出几种常用的数字滤波器。得出滤波器的相关系数,为 DSP 的实现数字滤波硬 件设计做好了一些前期的准备工作。最后研究了 TMS320VC5402 芯片的结构和特性,为 实现数字滤波器系统提供一个稳定的硬件平台。 关键词:数字滤波器,MATLAB,DSP,IIR(无限长单位脉冲响应),FIR(有限长单位脉 冲响应)。 贵州大学本科毕业论文(设计) IV Abstract At present we are

7、 in the digital era, digital signal processing technology has been widely concerned; the digital filter is one of the most important parts a digital signal processing. Research of digital signal processing is to use numbers or symbol sequence to represent signal waveform, and to deal with these sequ

8、ences to determine the signal of the characteristic parameters, or to weaken the signal of excess weight and enhance the signal in the useful components. Digital filter has signal filtering function, with high accuracy, reliability, ease of integration. This paper analyzes the status and development

9、 trend of the digital filter the domestic and international digital filter technology, describes the basic structure of digital filters and studies the basic theory of digital filter implementation. Through the study of digital filter structures and digital filter design theory, it analyses how to u

10、se MATLAB simulation software and C language program to design several types of commonly used digital filters with correlation function with. After got the correlation coefficient, it prepares for the implementation of DSP hardware design. Finally, it studies the structure and properties of TMS320VC

11、5402 chip to provide a stable hardware platform for digital filter system. Key words: Digital filter, MATLAB DSP IIR (infinite impulse response), FIR (finite impulse response) 贵州大学本科毕业论文(设计) 1 第一章 概论 前言前言 数字化的时代到来,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计 算机技术的发展得到了进一步的发展,被广泛应用于语音图象处理、频谱分析、数字通信、 自动控制、模式识别等领域。数字信

12、号处理一般是指用数字方式对信号进行滤波、变换、 调制、解调、均衡、增强、压缩、估值、识别、产生等,数字滤波器具有完成信号滤波处 理的功能,数字滤波器具备精度高、可靠性好、便于集成等优点。一般用有限精度的算法 来实现离散的线性非时变系统,其输入结果是一组由模拟信号通过取样和量化的数字量, 而输出是经过变换后的另一组数字量。本文主要从了解数字滤波器的基本结构,研究数字 滤波器的基本理论,以及数字滤波器的实现方法为主线。利用 MATLAB 仿真软件和相关 编程软件设计出符合各种要求的数字滤波器,并用相关的函数设计了几种常用的数字滤波 器。得出数字滤波器的相关系数,为 DSP 的数字滤波器硬件设计做好

13、一些前期准备工作。 1.1 数字滤波器的应用研究理论 如今随着数字信息时代的到来,数字信号处理已成为一门重要的学科和技术领域。数 字信号处理在通信、语音、图像、自动控制等众多领域得到了广泛的应用。数字信号处理 包括数字信号处理技术和数字信号处理器。数字信号处理是以数值计算的方法,对信号进 行采集、滤波、增强、压缩、估值和识别等加工处理,借以达到提取信息和便于应用的目 的,其应用范围涉及几乎所有的工程技术领域。 数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用,它是通过对采样数 字信号进行数学运算处理来达到频域滤波的目的。数字滤波器是提取有用信息非常重要、 非常灵活的方法,是现代信号处理

14、的重要内容。因而在频谱分析、语音图象处理、自动控 制、模式识别、数字通信等各领域中得到了广泛的应用。同时数字滤波器能够处理低频信 刘令普.数字信号处理M.哈尔滨:哈尔滨工业大学出版社,2002. 贵州大学本科毕业论文(设计) 2 号具有很高的精度,便于集成等功能,这些功能将决定了数字滤波器的应用越来越广泛。 1.2 数字滤波器的国内外发展趋势 数字滤波器精确度高、使用灵活、可靠性高,具有模拟设备所没有的许多优点,已广 泛地应用于各个科学技术领域, 例如数字电视、语音、通信、雷达、声纳、遥感、图像、 生物医学以及许多工程应用领域。随着信息时代数字时代的到来,数字滤波技术已经成为 一门极其重要的学

15、科和技术领域。以往的滤波器大多采用模拟电路技术,但是,模拟电路 技术存在很多难以解决的问题,例如,模拟电路元件对温度的敏感性,等等。而采用数字 技术则避免很多类似的难题,当然数字滤波器在其他方面也有很多突出的优点,这些都是 模拟技术所不能及的,所以采用数字滤波器对信号进行处理是目前的发展方向。 关于数字滤波器的研究,在 40 年代末期就有人研究过它的可能性问题,在 50 年代也 有人在研究生班讨论过数字滤波的问题。直到 60 年代中期美国科学家库利、图基总结前 人的研究成果,经过长期的研究,才开始形成了一套完整关于数字滤波器的正规理论。在 这一时期,各种各样的数字滤波器原理结构和特性被提出,并

16、且出现了各种数字滤波器的 逼近方法和实现方法,对递归和非递归两类滤波器作了全面的比较和分析。数字滤波器经 历了有限冲激响应(FIR)和无限冲激响应(IIR)关系的认识转化过程。当利用快速傅 利叶变换(FFT)来实现卷积运算的概念被提出之后,发现高阶有限冲激响应滤波器也可 用较高的运算效率来实现,因此使得人们对高性能的有限冲激响应滤波器的设计方法进行 了大量的研究分析,从而出现了此后数字滤波器设计中频域方法与时域方法的局面。 随着我国科学技术的快速发展,国内有很多专家教授在数字滤波领域展开长期的深入 研究,如天津大学的王兆华教授、山东大学的赖晓平教授等。无论是在理论方面还是在工 程技术领域,都有

17、很多科研成果。因而数字滤波器不断应用在各行各业里,我国现有滤波 器的种类及应用技术己基本上满足现有各种电信设备需求。从整体而言,我国无源滤波器 发展比有源滤波器迅速,而数字滤波器比模拟滤波器的发展较缓慢。 1.3 数字滤波器设计应用的实现方法 一般数字滤波器的实现方法有: (1)利用编程软件在计算机上实现。但这种方法速度太慢,不能在实时系统中应用, 邓重一. . 滤波器的过去、现在与未来J.世界电子元器件. .2003,13(4):4849. 贵州大学本科毕业论文(设计) 3 它主要应用于 DSP 算法的模拟与仿真。 (2)利用通用的单片机来实现。单片机的接口性能良好容易实现人机接口。但是由于

18、 单片机采用的是冯诺依曼总线结构,系统比较复杂,实现乘法运算速度较慢,而在数字滤 波器中涉及大量的乘法运算,因此,这种方法适用于一些不太复杂的数字信号处理。 (3)利用通用的可编程 DSP 芯片实现。因为它具有改进的哈佛总线结构,内部有硬件 有累加器、乘法器等。并且有适用于数字信号处理的指令系统等。 (4)利用专用的 DSP 芯片实现。 (5)利用可编程器件来开发数字滤波的算法。 通过以上这些方法可知,可以利用 MATLAB 等软件来研究和分析数字滤波器的原理 结构和特性,计算出数字滤波器的相关系数,研究算法的可行性,对数字滤波器进行前期 的仿真。本论文主要研究利用 MATLAB 等软件来对数

19、字滤波器进行前期的仿真,得出数 字滤波器的相关系数,为 DSP 的数字滤波器硬件设计做好一些前期准备工作。 1.4 本文的研究内容 本论文主要研究的内容: (1)研究数字滤波器的基本结构和原理特性,以及数字滤波器设计的实现方法。通过 学习和掌握各种数字滤波器的结构、原理和特性。为实现数字滤波器奠定了理论基础。 (2)研究如何利用 MATLAB 仿真软件来设计出符合各种要求的数字滤波器。并采用 了相关的函数设计了几种常用的数字滤波器,并得到了滤波器的相关系数,为 DSP 的实 现数字滤波器的硬件设计做好了一些前期的工作。 (3)研究了 TMS320VC5402 器件的结构和原理特性,结合数字信号

20、处理器的独特的特 点,设计合适的系统框架,并全面的介绍和设计数字滤波器的各个模块电路,合理的处理 模数转换和数模转换芯片与 DSP 的连接。为实现数字滤波器系统提供一个稳定的硬件平 台。 贵州大学本科毕业论文(设计) 4 第 2 章 数字滤波器的理论研究 2.1 数字滤波器的定义及结构原理 数字滤波器具有完成信号滤波处理的功能,数字滤波器具备精度高、可靠性好、便于 集成等优点。一般用有限精度的算法来实现离散的线性非时变的系统,其输入结果是一组 由模拟信号通过取样和量化的数字量,而输出是经过变换后的一组数字量。数字滤波器可 分为有限冲击响应(FIR)数字滤波器和无限冲击响应(IIR)数字滤波器。

21、滤波器按功能上分 为:低通滤波器、高通滤波器、带通滤波器和带阻滤波器。低通滤波器有可以分为 LC 和 RC 滤波器,RC 又可以分为有源低通和无源低通滤波器。 2.1.1 IIR 数字滤波器的基本原理结构 一个数字滤波器可以用系统函数表示为: (2-1) 0 1 ( ) ( ) ( ) 1 M k k k N k k k b z Y z H z X z a z 由系统函数可以得到表示系统输入与输出关系的常系数线形差分为: (2-2) 00 ( )()() NM kk kk y na y nkb x nk 可见数字滤波器的功能就是把输入序列 x(n)通过一定的运算变换成输出序列 y(n)。不 同

22、的运算处理方法决定了滤波器实现结构的不同。 IIR 滤波器实现的基本结构有: (1)IIR 滤波器的直接型结构; 优点:延迟线减少一半,变为 N 个,可节省寄存器或存储单元。 缺点:其它缺点同直接 I 型。 通常在实际中很少采用上述两种结构实现高阶系统,而是把高阶变成一系列不同组合 贵州大学本科毕业论文(设计) 5 的低阶系统(一、二阶)来实现。 (2)IIR 滤波器的级联型结构; 优点:系统设计简单、运算速度快、误差小,对字长要求低。 缺点:不能直接调整零点。 (3)IIR 滤波器的并联型结构。 优点:实现简单,运算误差小,可流水线操作。 缺点:受电平的影响大。 a、直接型 b、串联型 图

23、2.1 IIR 滤波器的基本结构 2.1.2 FIR 滤波器的基本原理结构 FIR 滤波器的单位抽样响应为有限长度,一般采用非递归形式实现。通常的 FIR 数字 滤波器有横截性和级联型两种。FIR 滤波器实现的基本结构有: (1)FIR 滤波器的横截型结构 表示系统输入输出关系的差分方程可写作: 贵州大学本科毕业论文(设计) 6 (2-3) 1 0 ( )( ) () N m y nh m x nm 直接由差分方程得出的实现结构如图 2.2 所示: 图 2.2 横截型(直接型卷积型) 若 h(n)呈现对称特性,即此 FIR 滤波器具有线性相位,则可以简化加横截型结构,下 面分情况讨论: 图 2

24、.3 N为奇数时线形相位 FIR 滤波器实现结构 图 2.4 N为偶数时线性相位 FIR 滤波器实现结构 (2)FIR 滤波器的级联型结构 将 H(z)分解成实系数二阶因子的乘积形式: (2-4) 1 2 12 012 01 ( )( ) N N N kkk Nk H zh n zbb zb z 这时 FIR 滤波器可用二阶节的级联结构来实现,每个二阶节用横截型结构实现。如图 所示: 图 2.5 FIR 滤波器的级联结构 这种结构的每一节控制一对零点,因而在需要控制传输零点时可以采用这种结构。 2.2 数字滤波器的设计应用研究 数字滤波器按冲激响应函数的时域特性可分为无限长冲激响应滤波器和有限

25、长冲激响 贵州大学本科毕业论文(设计) 7 应滤波器。无限长滤波器具有无限持续时间冲激响应,只能用递归方式实现。而有限长滤 波器既可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计有 方法有窗函数设计法、双线性变换法、插值逼近法等。一般的设计方法包含以下几个步骤。 (1)确定指标 在设计一个滤波器之前,需要确定滤波器的技术指标。指标的形式一般在频域中给出 幅度和相位响应。幅度指标分为绝对指标和相对指标。一般幅度指标只应用于 FIR 滤波器 的设计。相对指标则应用广泛。 (2)逼近 指标确定后,就可以建立一个理想的数字滤波器模型。于是可利用数字滤波器的设计 方法,设计出一个实际

26、滤波器模型来逼近确定的目标。 (3)性能分析和计算机仿真 从上面两步的结果得到以系统函数或冲激响应描述的滤波器。根据这个描述就可以分 析其频率特性和相位特性,或者利用计算机仿真实现设计的滤波器,再分析滤波结果来判 断。 2.3 数字滤波器的性能分析 我们在进行滤波器设计时,需要确定其性能指标。一般来说,滤波器的性能要求往往 以频率响应的幅度特性的允许误差来表征。以低通滤波器特性为例,频率响应有通带、过 渡带及阻带三个范围。 在通带内: 1- AP| H(ej)| 1 |c 在阻带中: |H(ej)| Ast st |c 其中 c 为通带截止频率, st为阻带截止频率,Ap为通带误差, Ast为

27、阻带误差。 与模拟滤波器类似,数字滤波器按频率特性划分为低通、高通、带通、带阻、全通等 类型,由于数字滤波器的频率响应是周期性的,周期为 2。各种理想数字滤波器的幅度 频率响应如图所示: 刘正士,王勇,陈恩伟,葛运建. 一种数字滤波器的设计方法及其应用J.中国机械工程, 2006,17(1):8891. 贵州大学本科毕业论文(设计) 8 图 2.6 各种理想数字滤波器的幅度频率响应 2.4 常用数字滤波器的设计方法分析 数字滤波器设计方法很多,如窗函数设计法、双线性变换法、插值逼近法和 Cheby shev 逼近法等等,但是通常采用双线性变换法和窗函数法进行设计。IIR 数字滤波器设计 最通用

28、的方法是借助于模拟滤波器的设计方法。窗函数法设计 FIR 滤波器的基本思想是根 据给定的滤波器技术指标选择滤波器长度 N 和窗函数 (n),使其具有最窄宽度的主瓣 和最小的旁瓣,其核心是从给定的频率特性,通过加窗确定有限长单位脉冲响应序列 h(n)。 2.4.1IIR 数字滤波器的设计方法介绍 目前,IIR 数字滤波器设计最通用的方法是借助于模拟滤波器的设计方法。模拟滤波 器设计已经有了一套相当成熟的方法,它不但有完整的设计公式,而且还有较为完整的图 表供查询。IIR 数字滤波器的设计步骤是: (1)按照相关规则将给出的数字滤波器的技术指标转换为模拟滤波器的技术指标。 (2)根据转换后的技术指

29、标设计模拟低通滤波器H(s)。 (3)在按一定规则将模拟低通滤波器H(s)转换为数字滤波器H(z)。 所设如果设计是高通、带通或者带阻滤波器,则将高通、带通或者带阻数字滤波器的 技术指标先转化为低通滤波器的技术指标,然后设计出模拟低通滤波器 H(s),再由冲击响 应不变法或双线性变换将 H(s)转换为所需的 H(z) 。 双线性变换法是指首先把 s 平面压缩变换到某一中介平面 s1 的一条横带,然后再利 用的关系把 s1 平面上的这条横带变换到整个 z 平面。这样 s 平面与 z 平面是一 Ts ez 1 贵州大学本科毕业论文(设计) 9 一对应关系, 消除了多值变换性, 也就消除了频谱混叠现

30、象。 s 平面到 z 平面的变换可采用 (2-5) 2 tan( 1T (2-6) 22 22 11 11 T j T j T j T j ee ee j 令 ,有:sj 11 sj (2-7) Ts Ts TsTs TsTs e e ee ee s 1 1 11 11 1 1 22 22 从 s1 平面到 z 平面的变换,即 (2-8) Ts ez 1 代入上式,得到: (2-9) 1 1 1 1 z z s 一般来说,为使模拟滤波器的某一频率与数字滤波器的任一频率有对应关系,可引入 代定常数 c, (2-10) 2 tan( 1T c 则 (2-11) 1 1 1 1 z sc z 这种

31、s 平面与 z 平面间的单值映射关系就是双线性变换。有了双线性变换,模拟滤 波器的数字化只须用进行置换。 2.4.2 FIR 数字滤波器的设计方法介绍 IIR 滤波器的优点是可利用模拟滤波器设计的结果,缺点是相位是非线性的,若需要 线性相位,则要用全通网络进行校正。FIR 滤波器的优点是可方便地实现线性相位。 FIR 滤波器单位冲激响应h(n)的特点: 其单位冲激响应h(n)是有限长(),系统函数为: (2-12) 1 0 ( )( ) N n n H zh n z 在有限 Z 平面有(N-1)个零点,而它的(N-1)个极点均位于原点 z=0 处。 FIR 滤波器线性相位的特点: 贵州大学本科

32、毕业论文(设计) 10 如果 FIR 滤波器的单位抽样响应h(n)为实数,而且满足以下任一条件: 偶对称h(n)h(N-1-n);奇对称h(n)-h(N-1-n)。 其对称中心在n(N-1)/2 处,则滤波器具有准确的线性相位。 1、窗函数设计法: 一般是先给定所要求的理想滤波器频率响应,由导出,我们知() j d He () j d He ( ) d h n 道理想滤波器的冲击响应是无限长的非因果序列,而我们要设计的是是有限长( ) d h n( ) d h n 的 FIR 滤波器,所以要用有限长序列来逼近无限长序列,设:( ) d h n( ) d h n (2-13) 1 ( )() 2

33、 jj dd h nHee d 常用的方法是用有限长度的窗函数w(n)来截取 即: (2-14) ( )( )( ) d h nn h n 这里窗函数就是矩形序列RN(n),加窗以后对理想低通滤波器的频率响应将产生什么样 的影响呢? 根据在时域是相乘关系,在频域则是卷积关系: (2-15) () 1 ()() 2 jjj dR H eHeW ed 其中,为矩形窗谱, 是 FIR 滤波器频率响应.() j H e 窗函数设计的要求指标: a、窗谱主瓣尽可能窄,以获取较陡的过渡带。 b、尽量减小窗谱的最大旁瓣的相对幅度,增大阻带的衰减。 2、频率采样法: 窗函数设计法是从时域出发,把理想的hd(n

34、)用一定形状的窗函数截取成有限长的 h(n),来近似理想的hd(n),这样得到的频率响应逼近于所要求的理想的频率响 应。 频率抽样法则是从频域出发,把给定的理想频率响应 加以等间隔抽样得到 ,然后以此 作为实际 FIR 滤波器的频率特性的抽样值H(k),即 (2-16) 2 ( )()| jw dd HkHek N 知道H(k)后,由 DFT 定义可唯一确定有限长序列 h(n),利用这N个频域抽样值H(k) 同样利用频率内插公式可得 FIR 滤波器的系统函数H(z),及频率响应 ,即: 贵州大学本科毕业论文(设计) 11 频率抽样法内插公式: (2-17) 1 1 0 1( ) ( ) 1 N

35、N k k N zH k H z NWz 频率抽样法小结 优点:可在频域直接设计,适合于最优化设计。 缺点:抽样频率只能等于 2/N 的整数倍,或等于2/N 的整数倍加上 /N。因而 不能确保截止频率 的自由取值,要想实现自由地选择截止频率,必须增加抽样点数 N。 2.5 常用数字滤波器设计方法理论分析 前面已经介绍了 IIR 和 FIR 数字滤波器的设计方法,选择哪一种滤波器取决于每种类 型滤波器的优点在设计中的重要性。现将两种滤波器特点比较分析如下: (1)在相同的技术指标要求下,IIR 数字滤波器存在输出对输入的反馈,因此可以用较 少的阶数来满足其要求,所用的存储单元少,运算次数少,较为

36、经济。 (2) 在很多情况下,FIR 数字滤波器的线性相位与它的高阶数带来的额外成本相比是 非常值得的。对于 IIR 滤波器,选择性越好,其相位的非线性越严重。如果要使 IIR 滤波 器获得线性相位,又满足幅度滤波器的技术要求,必须加全通网络进行相位校正。 (3) FIR 滤波器主要采用非递归结构,因而无论是理论上还是实际的有限精度运算中 他都是稳定的,有限精度运算误差也较小。IIR 滤波器必须采用递归结构,极点必须在z 平面单位圆内才能稳定。 (4) 对于 FIR 滤波器,由于冲激响应是有限长的,因此可以用快速傅里叶变换算法, 这样运算速度可以快得多。IIR 滤波器不能进行这样的运算。 (5

37、) 从设计上看,IIR 滤波器可以利用模拟滤波器设计的现成的闭合公式、数据和表 格,可以用完整的设计公式来设计各种选频滤波器。FIR 滤波器则没有现成的设计公式。 一般 FIR 滤波器设计仅有计算机程序可资利用,因而要借助于计算机。 (6) IIR 滤波器主要是设计规格化、频率特性为分段常数的标准低通、高通、带通和 李洪伟,张长明.LMS 自适应算法设计 FIR、IIR 数字滤波器的应用及比较J. 现代电子技术, 2005,(15):7980. 贵州大学本科毕业论文(设计) 12 带阻滤波器。FIR 滤波器则灵活很多,例如频率抽样法可适应各种幅度特性和相位特性的 要求。因此 FIR 滤波器可设

38、计出理想正交变换器、理想微分器、线性调频器等各种网络, 适应性很广。 表 2.1 两种滤波器特点比较分析 FIR 滤波器IIR 滤波器 设计方法 一般无解析的设计公式,要借 助计算机程序完成 利用 AF 的成果,可简单、有效地完 成设计 设计结果 可得到幅频特性(可以多带)和 线性相位(最大优点) 只能得到幅频特性,相频特性未知, 如需要线性相位,须用全通网络校准, 但增加滤波器阶数和复杂性 稳定性 极点全部在原点(永远稳定)无 稳定性问题 有稳定性问题 阶数高低 结构非递归系统递归系统 运算误差一般无反馈,运算误差小 有反馈,由于运算中的四舍五入会产 生极限环 2.6 其他数字滤波器设计应用

39、与研究 由上文的叙述可知,理想的滤波器是非因果的,即物理上不可实现的系统。工程上常 用的模拟滤波器都不是理想的滤波器。但按一定规则构成的实际滤波器的幅频特性可逼近 理想滤波器的幅频特性,例如巴特沃斯(Butterworth)、切比雪夫(Chebyshev)滤波器。 2.6.1 巴特沃斯滤波器 巴特沃斯滤波器(Butterworth 滤波器) 特点:巴特沃斯滤波器是电子滤波器的一种。巴特沃斯滤波器的特点是通频带的频率 响应曲线最平滑。具有通带内最大平坦的振幅特性,且随 f 增加,幅频特性单调递减。其 幅度平方函数: (2-18) 2 2 2 1 ()() 1 aN c AHj j j N为滤波器

40、阶数,如图 2.7 所示。 贵州大学本科毕业论文(设计) 13 图 2.7 巴特沃斯滤波器振幅平方特性 通带: 使信号通过的频带 阻带:抑制噪声通过的频带 过渡带:通带到阻带间过渡的频率范围 c :截止频率。 过渡带为零 理想滤波器 阻带|H(j )|=0 通带内幅度|H(j)|=cons.; H(j)的相位是线性的 图 2.7 中,N 增加,通带和阻带的近似性越好,过渡带越陡。 通带内,分母 /c1, ( /c)2N1, 增加, A(2) 快速减小。 =c, ,幅度衰减,相当于 3db 衰减点。 2 1 () 2 A 2 ()1 (0)2 c A A 振幅平方函数的极点 (2-19) 2 1

41、 ()( ) 1 () aa N c HSHS S j 巴特沃斯低通滤波器可用如下振幅的平方对频率的公式表示: (2-20) 贵州大学本科毕业论文(设计) 14 其中, n = 滤波器的阶数;c =截止频率 =振幅下降为 -3 分贝时的频率;p = 通频带边 缘频率;1/(1 + 2) = |H()|2 在通频带边缘的数值。 可见,Butter worth 滤波器 的振幅平方函数有 2N 个极点,它们均匀对称地分布在 |S|=c 的圆周上。 考虑到系统的稳定性,知 DF 的系统函数是由 S 平面左半部分的极点 (SP3,SP4,SP5)组成的,它们分别为: (2-21) 22 33 345 ,

42、 jj pcpcpc SeSSe 系统函数为: (2-22) 3 345 ( ) ()()() c a ppp Hs SSSSSS 令 ,得归一化的三阶 BF: (2-23) 32 1 ( ) 221 a Hs SSS 如果要还原的话,则有 (2-24) 32 1 ( ) ( /)2( /)2( /) 1 a ccc Hs sss 2.6.2 切比雪夫滤波器 巴特沃斯滤波器在通带内幅度特性是单调下降的,如果阶次一定,则在靠近截止频率 c 处,幅度下降很多,或者说,为了使通常内的衰减足够小,需要的阶次(N)很高,为了 克服这一缺点,采用切比雪夫多项式逼近所希望的 。 切比雪夫滤波器的 在通带范围

43、内是等幅起伏的,所以同样的通带衰减,其阶 数较巴特沃兹滤波器要小。其振幅平方函数为: (2-25) 2 2 22 1 ()() 1() a N c AHj V 式中 有效通带截止频率 与通带波纹有关的参量,大,波纹大,0 1。 Vn(x)N 阶切比雪夫多项式,定义为 1 c 2 ()H j 2 ()H j 贵州大学本科毕业论文(设计) 15 (2-26) 1 1 cos(cos)1 ( ) cosh(cosh)1 N Nxx Vx Nxx (2-27) 1,( )1 1,( ) N N xVx xxVx 时 如图 3-1,通带内 ,,变化范围 1- 1 c 2 a( )Hj 2 1 1 c ,随/c ,0(迅速趋于零) 2 a( )Hj 当 =0 时, (2-28) 2 20 22 11 () 1cosarccos(0) 1cos () 2 a Hj N N N 为偶数,,(min) , (2-29) 2 20 1 () 1 a Hj

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1