工程学院毕业设计FPGA的出租车计费器论文.doc

上传人:来看看 文档编号:3979623 上传时间:2019-10-11 格式:DOC 页数:41 大小:1.08MB
返回 下载 相关 举报
工程学院毕业设计FPGA的出租车计费器论文.doc_第1页
第1页 / 共41页
工程学院毕业设计FPGA的出租车计费器论文.doc_第2页
第2页 / 共41页
工程学院毕业设计FPGA的出租车计费器论文.doc_第3页
第3页 / 共41页
工程学院毕业设计FPGA的出租车计费器论文.doc_第4页
第4页 / 共41页
工程学院毕业设计FPGA的出租车计费器论文.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《工程学院毕业设计FPGA的出租车计费器论文.doc》由会员分享,可在线阅读,更多相关《工程学院毕业设计FPGA的出租车计费器论文.doc(41页珍藏版)》请在三一文库上搜索。

1、长春工程学院毕业设计(论文)1 引言最近几年出租车行业发展迅速,在全国有几千家出租车公司,因此出租车计费器的市场是庞大的。由于受到油价影响,各大城市都推出了运价油价联动机制,所以出租车计费器计价标准的灵活设定成为未来计费器不可缺少的一部分。而出租车运价是1993年制定的,1998年做过微调,可见已经十年没有变动了,所以现在的计费器都没有把灵活设定计价标准的功能加入其中,以至于出现了更改计价标准时要取下芯片重新记录,据可靠统计每台计费器更换标准以及调试所需时间为1小时,一个城市的出租车计费器修改所需时间为1个月。现在计费器大多数以单片机为平台的,但是单片机程序是不通用的,不同的芯片有不同的指令集

2、,因此设计研发比较困难。而FPGA等数字可编程器件的出现彻底解决了这个问题,而且性能更稳定、设计更灵活、能实现较复杂的功能,运用EDA软件可方便的在电脑上实现设计与仿真。本设计是基于FPGA的出租车计费器设计,使用软件MAX+PLUS和超高速硬件描述语言VHDL来实现起价标准灵活设定、白天深夜不同计价标准设定、超价加费设定、LED显示(包括等待时间、等待费用,行驶里程、里程费用)等功能。FPGA是电子设计领域中最具活力和发展前途的一项技术,未来必定会取代部分落伍的数字器件。2 概述2.1 出租车计费器现状最近几年出租车行业发展迅速,在全国有几千家出租车公司,出租车计费器的市场非常庞大。随着出租

3、车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印 、IC费、语音报话、和电脑串行通信等功能。随着生活水平的提高,更加精良的计价器来为乘客提供更加方便快捷的服务。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,

4、计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。目前市场上使用的出租车计费器主要采用的都是利用MCU如89C51单片机实现的计费器设计,显示方式上主要采用的是固定显示内容的LED显示。传统的出租车计费器由于发展使用了十几年,在稳定性、成本、以及使用习惯上都具有一些优势,但是随着出租车价格市场化,我国加入WTO以来主导出租车行业成本的许多因素主要包括油价的波动,都对传统的出租车计费器提出了更高的要求。近一年来,各大城市都在对出租车价格进行调整,由于数量太多,很多城市的调价甚至需要一个月的时间才能完成,经常会同一时间出现几个价格,有的城市的出租车上还会出现司机人工计价的尴尬情

5、形。这些都暴露了传统计费器在灵活性和升级换代能力的天生不足。传统计费器的不足可以体现在以下两点: 1产品更新周期长传统计费器利用MCU如89C51单片机实现汽车计费器的设计,大部分的功能可以用单片机来实现。但是单片机程序是不通用的,不同的芯片有不同的指令集,因此设计研发比较困难,周期长。如果系统设计的不好,则系统不是很稳定,而且灵活度不够,不易实现功能复杂的设计。 2计价方式的不灵活 每次计价标准的修改都需要将芯片从新记录,使得每次调价都需要耗费大量的人力物力,很难达到目前油价联动的需求。2.2 FPGA概述 1. FPGA发展状况自1985年Xilinx公司推出第一片现场可编程逻辑阵列(FP

6、GA)至今,FPGA已经成为当今电子设计应用市场上首选的可编程逻辑器件之一。从航空航天到数字信号处理,再到汽车家电等消费领域,无处不见FPGA的身影。而且,随着微电子等工艺的进步,FPGA器件本身的性能逐年在提高,使得FPGA器件与其他同类器件相比更有竞争力。在这个各类电子设计器件百花齐放的时代,广大消费者需要对这些电子设计器件有个更深入地了解,从而为自己的科研学习或工业生产挑选到既能满足各项性能指标要求,又经济实惠的合适的电子设计器件。因此,进行FPGA器件的应用研究并进行各类电子设计产品的性能优势对比分析是一项很有价值和实际意义的工作。 随着EDA技术的高速发展,电子系统的设计技术和工具发

7、生了深刻的变化,大规模可编程逻辑器件FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且可以随时在系统中修改其逻辑功能。FPGA器件的成本越来越低,Actel公司发布第三代的基于Flash的可编程逻辑方案。这些新的FPGA芯片最低价将达到1.5美元,代表了全球最低成本的FPGA,并且相对于基于SRAM的FPGA来说具有保密的优势。基于Flash的FPGA可以提供加密、低功耗、上电工作、可重复编程的方案。7 2. FPGA的结构与原理目前生产FPGA的公司主要有Xilinx、Altera、Actel、Lattice、QuickLogic等,生产的FPG

8、A品种和型号繁多。尽管这些FPGA的具体结构和性能指标各有特色,但它们都有一个共同之处,即由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块,从而实现不同的设计。典型的FPGA通常包含三类基本资源:可编程逻辑功能块、可编程输入/输出块和可编程互连资源。可编程逻辑功能块是实现用户功能的基本单元,多个逻辑功能块通常规则地排成一个阵列结构,分布于整个芯片;可编程输入/输出块完成芯片内部逻辑与外部管脚之间的接口,围绕在逻辑单元阵列四周;可编程内部互连资源包括各种长度的连线线段和一些可编程连接开关,它们将各个可编程逻辑块或输入/输出块连接起来,构成特定功能的电路。用户可以通过编程决定每个单元的

9、功能以及它们的互连关系,从而实现所需的逻辑功能。不同厂家或不同型号的FPGA,在可编程逻辑块的内部结构、规模、内部互连的结构等方面经常存在较大的差异。除了上述构成FPGA基本结构的三种资源以外,随着工艺的进步和应用系统需求的发展,一般在FPGA中还可能包含以下可选资源:存储器资源(块RAM、分布式RAM);数字时钟管理单元(分频/倍频、数字延迟、时钟锁定);算数运算单元(高速硬件乘法器、乘加器);多电平标准兼容的I/O接口;高速串行I/O接口;5特殊功能模块(以太网MAC等硬IP核);微处理器(PowerPC405等硬处理器IP核)。下面介绍两种FPGA的一般结构。第一种包括5个可配置部分:(

10、1)可配置逻辑块,用于实现大部分逻辑功能;(2)在可配置逻辑块的四周分布着可编程的输入输出块(Input/Output Blocks),提供封装引脚与内部逻辑之间的连接接口;(3)丰富的多层互连结构的可编程连线;(4)片上的随机存取块状RAM;(5)全数字式延迟锁相环(DLL)时钟控制块,与每个全局时钟输入缓冲器相连,该闭环系统确保时钟边沿到达内部触发器与其到达输入引脚同步,有效地消除时钟分配的延迟。另一种FPGA器件主要包括:(1)逻辑阵列,由多个逻辑阵列块(Logic Array Blocks)排列而成,用于实现大部分逻辑功能;(2)在芯片四周分布着可编程的输入输出单元(Input/Out

11、put Elements),提供封装引脚与内部逻辑之间的连接接口;(3)丰富的多层互连结构的可编程连线;(4)片上的随机存取块状RAM;(5)锁相环(PLL),用于时钟的锁定与同步、能够实现时钟的倍频和分频;(6)高速的硬件乘法器,有助于实现高性能的DSP功能。目前绝大部分FPGA都采用查找表技术,最基本逻辑单元都是由LUT和触发器组成的。查找表简称为LUT,本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或VHDL语言描述了一个逻辑电路以后,FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写

12、入RAM。这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出该地址对应的内容,然后输出即可。由于LUT主要适合SRAM工艺生产,所以目前大部分FPGA都是基于SRAM工艺的。而SRAM工艺的芯片在掉电后信息就会丢失,因此需要外加一片专用的配置芯片。在上电的时候,由这个专用配置芯片把数据加载到FPGA中,FPGA就可以正常工作。少数FPGA产品采用反熔丝或Flash工艺,掉电后配置信息不会丢失,因此这种FPGA芯片不需要外加的专用配置芯片。82.3 VHDL硬件描述语言 1.VHDL语言的发展状况VHDL的英文全名是Very-High-Speed Integrated Circui

13、t Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE(The Institute of Electrical and Electronics Engineers)和美国国防部却认为标准硬件描述语言。自IEEE公布了VHDL的标准版本(IEEE-1076)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL 接口。此后VHDL在电子设计领域得到了广泛的接收,并逐步取代了原有的非标准硬件描述语言。1933年,IEEE对VHDL进行论文修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本

14、的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog语言作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,以成为事实上的通用硬件描述语言。有专家认为,在新世纪中,VHDL语言将承担起几乎全部的数字系统设计任务。2. VHDL的特点VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或设计实体(可以是一个元件、一个电路模块或一个系统)分成外部(或称可视部分,即端口)和内部(或称不可视部分),即设计

15、实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦内部开发完成后,其他的设计可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的,具体如下:l 与其它的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器件的网表文件已不成问题,只是在综合与优化效率上略有差

16、异。l VHDL最初是作为一种仿真标准格式出现的,因此VHDL既是一种硬件电路描述和设计语言,也是一种标准的网表格式,还是一种仿真语言,其丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能用于查验设计系统的功能可行性,随时可对设计进行仿真模拟。即在远离门级的高层次上进行模拟,是设计者对整个工程设计的结构和功能的可行性作出决策。l VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能,符合市场所需求的,大规模系统高效、高速的完成必须由多人甚至多个开发组共同并行工作才能实现的特点。VHDL中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作

17、提供了有利的支持。l 对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动低把VHDL描述设计转变成门级网表。这种方式突破了门级设计的瓶颈,极大地减少了电路设计的时间和可能发生的错误,降低了开发成本。应用EDA工具的逻辑优化功能,可以自动地把一个综合后的设计变成一个更高效、更高速的电路系统。反过来,设计者还可以容易地从综合和优化后的电路获得设计信息,返回去更新修改VHDL设计描述,使之更为完善。l VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。正因为VHDL的硬件描述与具体的工艺技术和硬件结构无

18、关,VHDL设计程序的硬件实现目标器件有广阔的选择范围,其中包括各系列的CPLD、FPGA及各种门阵列实现目标。l 由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。13 3.关于自顶向下的系统设计方法使用VHDL设计系统方法是自顶向下的系统设计方法,在设计过程中,首先是从整体上对系统设计作详细的规划,然后完成电路系统功能行为方面的设计,其设计流程如图。VHDL仿真器VHDL文本编辑时序与功能仿真器FPGA/CPLD适配器FPGA/CPLD器件和电路系统FPGA/CPLD编程下载器VHDL文本编

19、辑图2-1 VHDL设计流程图3 设计方案论证与选择3.1 基于单片机的设计方案方案一:用单片机设计出租车计费器(1)硬件设计:整个计费器系统共由五个模块组成, 分别为主控模块、按键显示模块、防作弊及脉冲输入模块、通信模块和电源模块。(2)软件设计:该计费器的软件设计主要由两部分组成, 一是单片机的软件设计,二是PC 机的软件设计3.2 基于FPGA的设计方案方案二:用FPGA设计出租车计费器 测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算、里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间、等待费用,并产生里程标志(LCBZ)、超价标志(CJBZ)、等待标志(DD

20、BZ)、熄灯标志(XDBZ)、计费标志(JFBZ)等有关控制标志信号,同时根据发出的开始信号(START)、车轮选择(CLXZ)、时段标志(SDBZ)、传输数据选择(SEL)等控制信号,将有关计算结果显示出来。测控FPGA的VHDL程序设计,根据系统的设计要求,可将整个测控FPGA系统CZJFXT分为七个模块,他们分别是:分频器模块FPQ,等待判断模块DDPB,里程计算模块LCJS,里程计费模块LCJF,等待计时模块DDJS,等待计费模块DDJF,输出数据选择模块SCXZ,译码显示模块YMQXS,BCD码转换模块BCDCH。3.3 方案论证与选择 鉴于FPGA 能完成任何数字器件的功能,使用

21、FPGA 来开发数字电路,可以大大缩短设计时间,减少 PCB 面积,提高系统的可靠性。同时由于 FPGA 的功能完全取决于 VHDL 语言编写的程序,不拘泥于某种芯片的特殊指令,因此选择方案二设计。4 出租车计费系统设计说明4.1 出租车计费标准白天6:0023:00深夜23:006:00总额2元/KM(超过2KM)4元/KM(超过2KM)4元/KM(超过10KM超价收费)6元/KM(超过10KM超价收费)起步价格5.00元1元/5分钟(车速低于12km/h不到1小时)20元/小时(车速低于12km/h超过1小时)表4-1 出租车计费标准表4.2 出租车计费系统技术指标 以FPGA为主体设计出

22、租车计费系统,主要技术指标如下:1.里程,即汽车行驶里程,单位为KM。2. 单价, 即里程单价,单位为元/KM,根据每天不同的时间段有两种情况:当时间段为 06:00-23:00时单价为2元/KM,超过10KM时单价为4元/KM,其他时间段内单价为4元/KM,超过10KM时单价为6元/KM。3.等候时间,等候的定义是:当汽车行使速度小于或等于12KM/小时时为等候。4.等候单价,等候单价有两种情况:在等候时间小于一小时的情况下,等候单价为1元/6分钟;在等候时间大于1小时的情况下,等候单价为20元/小时。5.费用的计算,出租车的起价为5.00元,当里程小于2KM时,按起价计算费用;当里程大于2

23、KM时,按下式计算费用:费用=里程*里程单价+等候时间*等候单价4.3 出租车计费系统总体框架设计基于FPGA的出租车计费器的组成框图如图4-1所示。各部分主要功能如下:1A计数器对车轮传感器送来的脉冲信号进行记数(每转一圈送一个脉冲)。不同车型的车轮直径可能不一样,通过“设置1”对车型做出选择,以实现对不同车轮直径的车进行调整。2.B计数器对百米脉冲进行累加,每计满500送出一个脉冲给C计数器。3.C计数器实现步长可变(即单价可调)的累加计数,每500米计费一次。“设置2”用来完成超价加费、起步价预制等。4.D计数器实现等待时间的累加计数,通过设置3将外部时钟信号转化为标准时钟信号。5.E计

24、数器时间等待费用的累加计数,通过设置4实现超时加费。设置1设置2设置3设置4计数器A计数器B计数器C计数器D计数器E译 码数 码 管 显 示车轮脉冲外部时钟信号里程里程费用等待时间等待费用图4-1 基于FPGA的出租车计费器组成框图5 出租车计费器系统的VHDL设计5.1 测控FPGA的总体设计根据系统的设计要求,可将整个测控FPGA系统CZJFXT分为七个模块,他们分别是:分频器模块FPQ,等待判断模块DDPB,里程计算模块LCJS,里程计费模块LCJF,等待计时模块DDJS,等待计费模块DDJF,输出数据选择模块SCXZ,译码显示模块YMQXS,BCD码转换模块BCDCH。其内部组成原理图

25、如图5-1所示图5-1 基于FPGA的出租车计费系统内部组成原理图5.2 出租车计费器系统内部模块设计1.分频器模块FPQ:将外部始终信号SCLK(设计时假设为200HZ)经过适当分频后,产生1HZ的系统工作用的基准时钟信号CLK1HZ,供系统中的有关模块计时用。图5-2 分频器模块FPQ分频器模块FPQ的VHDL源程序:FPQ.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY FPQ IS PORT (SCLK: IN

26、STD_LOGIC; SCLK=200HZ CLK1HZ: OUT STD_LOGIC); CLK1HZ=1HZEND ENTITY FPQ;ARCHITECTURE ART OF FPQ IS SIGNAL CNT100: INTEGER RANGE 0 TO 99; SIGNAL CLK1: STD_LOGIC; BEGIN PROCESS( SCLK) BEGIN IF SCLKEVENT AND SCLK= 1 THENIF CNT100=99 THEN CNT100=0; CLK1=NOT CLK1;ELSE CNT100=CNT100+1;END IF;ELSECLK1=CLK1;

27、 END IF; CLK1HZ=CLK1;END PROCESS;END ARCHITECTURE ART;2.等待判别模块DDPB:根据速度传感器脉冲信号WCLK和分频器产生的基准时钟信号CLK1HZ,计算单位时间里WCLK的脉冲个数,亦即出租车行驶速度,从而判别出租车时候处于等待状态,发出等待标志信号DDBZ。当速度大于12KM/H,即速度大于200M/MIN时,出租车处于行驶状态,这时等待标志DDBZ=0。当速度小于12KM/H,即速度小于200M/MIN时,出租车处于等待状态,这时等待标志DDBZ=1。该模块的VHDL程序设计可分为三个进程:60S周期性跳变信号产生进程,每分钟行使距离

28、计算进程,等待标志判别进程。等待标志判别进程就是比较每分钟WCLK脉冲个数与等待状态WCLK脉冲临界个数120的大小,从而确定DDBZ的赋值。图5-3 等待判别模块DDPBDDPB.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DDPB IS PORT (START,WCLK:IN STD_LOGIC;CLK1HZ: IN STD_LOGIC;DDBZ: OUT STD_LOGIC);END ENTITY DDPB;A

29、RCHITECTURE ART OF DDPB IS SIGNAL T60S: STD_LOGIC;SIGNAL WCLKCOU: STD_LOGIC_VECTOR (7 DOWNTO 0);BEGIN 产生60s周期性跳变信号进程PROCESS (START, CLK1HZ) IS VARIABLE CNT60: STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN IF START=1 THEN CNT60:=00000000 ; T60S=0; ELSIF CLK1HZEVENT AND CLK1HZ=1 THEN IF CNT60= 00111100 THEN CN

30、T60=60 T60S=1; CNT60:=00000000; ELSE CNT60:=CNT60+1; T60S=0; END IF; END IF;END PROCESS;每分钟行驶距离计算进程PROCESS (START, WCLK, T60S) ISBEGIN IF START=1 THEN WCLKCOU=00000000;ELSIF WCLKEVENT AND WCLK=1 THEN IF T60S=1 THEN WCLKCOU=00000000; ELSE WCLKCOU=WCLKCOU+1; 距离计算 END IF;END IF;END PROCESS; 等待标志判别进程PRO

31、CESS (WCLKCOU, T60S) ISBEGIN IF T60SEVENT AND T60s=1 THEN IF WCLKCOU=01111000 THEN DDBZ=1; 等待 ELSE DDBZ=0; 行驶 END IF; END IF; END PROCESS;END ARCHITECTURE ART;3.里程计算模块LCJS:根据速度传感器脉冲信号WCLK和等待标志DDBZ,对出租车行使的里程数XSLC进行计算,同时发出里程标志信号LCBZ、超价标志CJBZ和里程计费标志信号JFBZ。如果LCBZ=0,说明行使距离没超过2KM,按起价计费。如果LCBZ=1,说明超过2KM,里程

32、计费启动,如果CJBZ=1,说明行驶超过10KM,实行超价加费;如果;每行使500M应计费1次,并且计费的启动信号应是某一时刻,因此每行驶500M,计费标志信号JFBZ应跳变1次。该模块的 VHDL程序设计可分为两个进程:里程计算及标志产生进程、产生计费信号进程。产生计费信号进程就是每行驶500米JFBZ由低电平0变为高电平1,经过一个系统时钟周期后JFBZ又回到低电平0,里程计费模块LCJF就是在JFBZ脉冲信号的上升沿进行计费的加法操作。图5-4 里程计算模块LCJS里程计算模块LCJS的VHDL源程序:LCJS.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_116

33、4.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY LCJS IS PORT(START,DDBZ,WCLK: IN STD_LOGIC; XSLC: OUT STD_LOGIC_VECTOR (7 DOWNTO 0); LCBZ, CJBZ, JFBZ: OUT STD_LOGIC);END ENTITY LCJS;ARCHITECTURE ART OF LCJS ISSIGNAL BMS: STD_LOGIC_VECTOR (7 DOWNTO 0);SIGNAL BMS1: INTEGER RA

34、NGE 0 TO 99;SIGNAL JFBZ1:STD_LOGIC;BEGIN里程计算及标志产生进程PROCESS(START,WCLK)VARIABLE MS: STD_LOGIC_VECTOR( 7 DOWNTO 0);BEGIN IF START=1 THEN MS:=00000000; BMS=00000000; LCBZ=0;ELSIF WCLKEVENT AND WCLK=1 THEN IF DDBZ=0 THEN IF CLXZ=00 THEN IF MS=00111100 THEN MS=60 MS:=00000000; BMS=20 THEN LCBZ=100 THEN C

35、JBZ=1; 大于10KM标志 END IF; END IF; ELSE MS:=MS+1; 米数计算 END IF; ELSIF CLXZ=01 THEN IF MS=00111010 THEN MS=58 MS:=00000000; BMS=20 THEN LCBZ=100 THEN CJBZ=1; 大于10KM标志 END IF; END IF; ELSE MS:=MS+1; 米数计算 END IF; ELSIF CLXZ=10 THEN IF MS=00111000 THEN MS=56 MS:=00000000; BMS=20 THEN LCBZ=100 THEN CJBZ=1; 大

36、于10KM标志 END IF; END IF; ELSE MS:=MS+1; 米数计算 END IF; ELSIF CLXZ=11 THEN IF MS=00110110 THEN MS=54 MS:=00000000; BMS=20 THEN LCBZ=100 THEN CJBZ=1; 大于10KM标志 END IF; END IF; ELSE MS:=MS+1; 米数计算 END IF; END IF; END IF;END IF;END PROCESS;里程计算结果输出XSLC=BMS;里程计算结果转换BMS1JFBZ1JFBZ1JFBZ1=0;END CASE; END PROCESS

37、;计费标志去毛刺进程 PROCESS(WCLK,JFBZ1) IS BEGIN IF WCLKEVENT AND WCLK=1 THENJFBZ=JFBZ1;END IF;END PROCESS;END ARCHITECTURE ART; 4.里程计费模块LCJF1:在计费标志信号JFBZ、等待标志信号DDBZ、里程标志信号LCBZ、超价标志信号CJBZ和 时段标志信号XDBZ等信号的控制下,计算行驶里程超过2KM以上里程的费用LCFY。该模块的VHDL程序是通过由多个条件控制的加法进程来完成里程计费的,里程计费的条件是在DDBZ=0,并且在JFBZ的上升沿根据SDBZ分别进行加法计费操作。当

38、SDBZ=1时,表示在06:0023:00时段,其单价SD1为2元/KM,超过10KM时,单价SD3为4/KM;当SDBZ=0时,表示其他时段,其单价SD2为4元/KM,超过10KM时,单价SD4为6/KM。如果LCBZ=1,说明行驶距离超过2KM,里程计费启动;如果LCBZ=0,说明没超过2KM,按起价收费。图5-5 里程计费模块LCJF1里程计费模块LCJF1的VHDL源程序:LCJF1.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.A

39、LL;ENTITY LCJF1 IS GENERIC(SD1:INTEGER:=1; 06:00-23.00单价 SD2:INTEGER:=2; 其他时段单价SD3:INTEGER:=2; 超过10KM时06:00-23:00单价SD4:INTEGER:=3); 超过10KM时其他时段单价 PORT(LCBZ,JFBZ,START,DDBZ,SDBZ,CJBZ:IN STD_LOGIC; LCFY:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END ENTITY LCJF1;ARCHITECTURE ART OF LCJF1 IS SIGNAL LCFY1: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(START,LCBZ,SDBZ,JFBZ,CJBZ)BEGINIF START=1 THEN LCFY1=00000000;ELSIF JFBZEVENT AND JFBZ=1 THEN IF DDBZ=0 THEN 行驶状态 IF LCBZ=0 THEN 2KM以内 LCFY1=00000101; 起价5元 ELSIF LCBZ=1 THEN 2KM以上 IF CJBZ=0 THEN 10KM以内 IF SDBZ=0 THEN 06:00-23:00 LCFY1=LCFY1+SD1; E

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1