MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc

上传人:rrsccc 文档编号:8863790 上传时间:2021-01-21 格式:DOC 页数:24 大小:1.07MB
返回 下载 相关 举报
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc_第1页
第1页 / 共24页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc_第2页
第2页 / 共24页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc_第3页
第3页 / 共24页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc_第4页
第4页 / 共24页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc》由会员分享,可在线阅读,更多相关《MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计要点.doc(24页珍藏版)》请在三一文库上搜索。

1、QQ:290632690 肤浅。制作MATLAB设计,FPGA实现,联合ISE和Modelsim仿真的FIR滤波器设计 摘要:本文首先利用MATLAB产生两个频率不一样的正弦信号,并将这两个正弦信号相加,得到一个混叠的波形;然后利用MATLAB设计一个FIR低通滤波器,并由Verilog实现,联合ISE和Modelsim仿真,实现滤除频率较高的信号,并将滤波后的数据送到MATLAB中分析。本文首先介绍FIR滤波器的基本原理,然后从目前最流行的的设计方式入手,逐步介绍FIR滤波器的设计,其流程图如图1所示:图1一、 FIR滤波器的基本原理:数字滤波器是从分析信号中提取用户需要的信息,滤去不需要的

2、信号成分或者干扰成分。数字滤波器的数学模型:线性时不变数字滤波器的数学模型在时域中可以用线性常系数差分方程给出:其等效的Z变换为: 当 全为0时,Z域系统函数只有零点,数字滤波器的单位脉冲响应有限,通常这用滤波器被称为有限冲激响应(FIR)滤波器。它的输出只与当前的输入和过去的输入有关,跟过去的输出无关。FIR滤波器的一个重要特性是具有线性相位,即系统的相异和频率成正比,可达到无失真传输。 更加详细的介绍FIR滤波器的理论算法知识,请参考别的先关书籍资料、二、 FIR滤波器的设计及验证:1) 由MATLAB产生FIR滤波器的输入数据,并存放到.txt文件中用MATLAB产生两个频率分别为:0.

3、5MHz和2MHz的正弦信号,并将这两个正弦信号叠加,得到一个混叠的信号,并将这个混叠信号的波形数据存放到C盘根目录下面的matlab_wave_data.txt文件中。MATLAB实现代码如下:clear all;fs = 25000000; % 25M 采样率t = 0:1/fs:0.0002; % 共0.0002 * 25000000 = 5000个点f1 = 500000;f2 = 2000000;signal1 = sin(2*pi*f1*t); % 频率为 0.5MHz 的正弦信号signal2 = sin(2*pi*f2*t); % 频率为 2.0MHz 的正弦信% 由于正、余弦

4、波形的值在0,1之间,需要量化成16bit,先将数值放大y2 = fix(16384 + (214 - 1) * signal1); % 量化到 15bity3 = fix(16384 + (214 - 1) * signal2); % 量化到 15bity1 = y2 + y3; % 量化到 16bit% 再将放大的浮点值量化,并写道到存放在C盘的文本中fid = fopen(c:/matlab_wave_data.txt, wt);fprintf(fid, %xn, y1); % 以16进制的格式将数据存放到.txt文件中。如果不用16进制,后面% 读数会出错。fclose(fid);pl

5、ot(y2, b);hold on;plot(y3, b);hold on;plot(y1, r);legend(0.5MHz正弦,2MHz正弦,两者叠加);title(MATLAB产生的两个正弦信号的叠加波形); 信号波形图如图2所示:图2 存放数据的文件路径、名称已经文本的内容如图3所示:图3用MATLAB回读C盘根目录下的matlab_wave_data.txt文件,验证存入的波形数据是否正确,MATLAB代码如下:fid = fopen(c:/matlab_wave_data.txt,r);for i = 1 : 5001;%一共有5001个数据num(i) = fscanf(fid,

6、 %x, 1);%从fid所指的文件中,以16进制的方式读出一个数据endfclose(fid);figure(2);plot(num,b);legend(MATLAB从txt文件中读出的原始叠加波形数据);title(直接回读MATLAB产生的两个正弦信号的叠加波形); 显示的波形如图4所示:图4对比图4和图2中的叠加波形,可知以上操作的正确性。2) 用MATLAB设计FIR滤波器输入信号是频率别为0.5MHz和2MHz的正弦信号的叠加,我们的任务是设计一个低通滤波器滤除掉2MHz的干扰信号。因此,我们可以设计一个采样率为25MHz的低通滤波器,其通带带宽为1MHz,阻带宽度为2MHz。通带

7、内纹波抖动为1dB,阻带下降为80dB。在MATLAB的命令窗口输入:fdatool命令并回车,打开FDATool工具箱,用MATLAB的FDATool工具设计该滤波器,参数设置如图5所示:设计好参数后,点击DesignFilter,可以在FDATool窗口的左上角看到滤波器的阶数为63阶,点击File Generate M-file,并将滤波器命名为mylowfilter。图5 编写如下代码,滤除混叠信号中的高频信号。Hd = mylowfilter; % 滤波器名称output = filter(Hd, y1); % 对叠加信号 y ,进行滤波处理figure(3);plot(y2, k)

8、;hold on;plot(output, r);legend(0.5MHz原始信号,滤波后取出的信号);title(信号通过MTALAB的低通滤波器后的波形); 滤波后的波形如图6所示:图6生成.coe文件,用于Xilinx的IPCore设计滤波器,FDATool窗口点击File Export,保持默认设置,点击Export即可,次数在MATLAB的workspace窗口多出一个Num的1*64的数组,这就是滤波器的系数,如图7所示:图7由于MATLAB生成的滤波器系数全是一些小数,而FPGA只能处理整数,因此我们必须将这些小数扩大一定的倍数,使它们变成整数。在MATLAB的命令窗口输入下面

9、的一段代码然后按Enter,即可将上面这些系数变为整数,注意这里的*32767,表示将系数扩大32767倍,这里的扩大倍数只能选2N,目的是为了后面滤波后的波形数据的高位截取(丢掉低位,即除以2N)。返回ans=0,表示操作正确。coeff=round(Num/max(abs(Num)*32767);% abs() 求绝对值,max() 求最大值,round() 四舍五入fid = fopen(e:/fircoe.txt,wt); %将滤波器系数写入文件件中fprintf(fid,%16.0fn,coeff);% 将滤波器系数以16位浮点数的格式保存fclose(fid) 程序运行的结果如图8

10、所示:图8将文件的格式改为.coe格式,在文件的开口加上:radix = 10;coefdata = 在每个数字前的空格处加一个逗号“,”,并在最后一个数字后面加上分号“;”如图9所示:图9 这样就产生了可供FIR滤波器的IPCore可调用的.coe文件。3) 用Verilog编程读取MATLAB产生的波形数据通过Verilog的$readmemh()函数即可读出.txt文件中的数据(这里的数据是以16进制形式存储的),具体用法如下:reg 15 :0 data_in0:2000; /定义一个16bit * 2001的数组initial begin/ 读出MATLAB产生的波形数据 0.5MH

11、z_sin + 4MHz_sin 信号 $readmemh(c:/matlab_wave_data.txt, data_in); /将 matlab_wave_data.txt中的数据读入存储器 data_inEnd 我在modelsim中尝试用readmemh来读取matlab生成的数据,但是一旦到了负数的地方就出现问题了,之后的所有数据都变成了xxxxx,因此我在用MATLAB产生波形数据时都给正弦信号添加了一个偏移量,将正弦信号平移到了0之上。用Modelsim仿真工具可以看到读出的数据,并与原文件数据(图3)比较,可以判断读出的数据是否正确,这里是ISE和Modelsim联合仿真的结果

12、,如图10所示:图104) 由Xilinx的FIR的IPCore实现FIR滤波器FIR的IPCore的生成步骤就不多说了,简单的说一下参数配置情况:a) 我们使用由MATLAB生成的.coe文件导入滤波器的系数。b) 输入信号的采样频率为25MHz,这里必须和MATLAB产生的波形数据的采样频率保持一致。c) FIR滤波器的运行时钟,这里我们选择250MHz,这里必须和仿真文件里给的时钟保持一致。d) 输入数据的类型,我们这里选择了无符号数,输入数据位宽为16bit,因为modelsim不能读出负数(要么用补码,要么加偏移)。如图11、图12所示:图11图12FIR的IPCore的列化如下:F

13、IR16_IP FIR16_IP_ins (.clk(clk), / input clk.rfd(rfd), / output rfd 在其上升沿将输入数据加载到滤波器内核中.rdy(rdy), / output rdy 在其上升沿输出滤波器的计算结果.din(data_in_reg), / input 15 : 0 din.dout(dout); / output 35 : 0 dout 特别注意这个数据位宽我们主要对其进行简单的控制:在rfd 上升沿将输入数据加载到滤波器内核中,在rdy 上升沿输出滤波器的计算结果。具体的Verilog代码如下:always (posedge clk) b

14、eginif(reset = 1b0) begini=1b0;m=1b0;data_in_reg=16h0000;endelse beginrfd_1q=rfd;rfd_2q=rfd_1q;if(rfd_1q & rfd) begin/ rfd 信号的上升沿将输入数据加载到滤波器内核中data_in_reg=data_ini;i =i + 1;m =m;if(i = 2002)i=0;endendendalways (posedge clk) beginif(reset = 1b0) beginData_out_reg=0;j=0;n=0;endelse beginrdy_1q=rdy;rdy

15、_2q=rdy_1q;if(rdy_1q & rdy) beginData_out_reg=dout;j=j + 1b1;n =n;endendend这里还做了一个附加功能,将FIR滤波器的输入数据存放到一个.txt文件当中,然后用MATLAB去读取这个波形文件数据,看看读出的波形是否和原来的混叠波形一样。具体的Verilog和MATLAB代码如下:integer wr_file;initial wr_file = $fopen(c:/FIR_in_data.txt);always ( m ) beginif(reset = 1b1) begin$fdisplay(wr_file,%h, da

16、ta_in_reg);/ 33bit数if(j = 11d2002) /共写入2001个数据$stop;endendfid = fopen(c:/FIR_in_data.txt,r);for j = 1 : 2000;num1(j) = fscanf(fid, %x, 1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。endfclose(fid);figure(4);plot(num1,r);legend(Verilog读出的txt文件中的数据);title(FIR滤波器的输入数据); MATLAB读出的波形数据如图13所示:图135) 将FIR滤波器的输出存入.txt文件中

17、有上面的步骤我们已经验证了输入到FIR滤波器的数据都是正确的,下面就将FIR滤波器的输出数据保存到一个.txt文件当中供MATLAB读取。/刚刚的问题是,matlab读一个数据是32bit的,/而FIR的输出是36bit的,因此高4bit根本没有读上来。assignData_out31:0 = Data_out_reg35:4;integer w_file;initial w_file = $fopen(c:/FIR_out.txt);always ( n ) beginif(reset = 1b1) begin$fdisplay(w_file,%h, Data_out31:14);/ 33b

18、it数if(j = 11d2002) /共写入2001个数据$stop;endend这里我也搞了好久才搞好,这里FIR滤波器的输出数据位宽变成了36bit,而输入数据位宽是16bit,为什么数据会变大几万倍呢?因为我们在将滤波器的系数由小数变成整数的时候,对这些系数整体扩大了32767倍,再做了一个四舍五入(影响滤波器精度),对滤波器的系数扩大的倍数越大,四舍五入对精度的影响就越小,但是系数乘的倍数越大,FPGA在做乘加运算也就越复杂,也就越耗时,越耗资源,因此我们需要找一个平衡点。这里为了将信号的幅度变回原始的幅度(尽可能的靠近),我们只能通过将低位截取掉,截取低位相当于对数据做除法(除2)

19、,所以前面的滤波器系数的扩大倍数我们一定要用2N,这样我们在这里还原信号幅度的时候,只需要截位就能达到目的。比如这里我们对滤波器的系数乘了32767,那我们在做除法还原波形幅度时,只需要除以32767即可(即截掉低16bit)。还有一种操作方式就是我们只保留数据的高16bit(和输入数据的位宽保持一致),这两种方式波形的幅度也就几倍的差距,我还没有完全搞懂这里,究竟怎样才能将波形的幅度完全的还原回去,还是一个值得好好思考的问题?另一个问题是我的电脑是32bit位宽的,如果我们一次性让MATLAB读取36bit的数据那么数据的高4bit会读不上来,会导致很奇怪的波形,我也遇到了这个问题。如图14

20、所示,波形明显可以通过一些平移拼合成一个正弦波。通过对波形数据一个个的分析,我找到了这个问题。图14图15是波形幅度发生变化的截图:图156) 由MATLAB读入FIR滤波器的输出数据,并分析滤波结果由MATLAB读取FIR滤波器的输出数据,分析波形,具体的代码如下:fid = fopen(c:/FIR_out.txt,r);for i = 1 : 2000;num(i) = fscanf(fid, %x, 1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。endfclose(fid);figure(5);plot(num,r);y4 = y2;hold on;plot(y4

21、, k);legend(经过FIR_IPCore滤波后的数据,0.5MHz的原始数据放大16384倍);title(经过FIR滤波器的输出数据); 波形数据如图16所示:图16三、 总结本次设计我大约耗时一周,从0开始研究FIR滤波器的设计,联合了MATLAB、ISE、Modelsim三个工具,不得不佩服MABLAB在数据分析方面强大的功能。本次设计走通了FIR滤波器的总体设计流程,为以后的工程实用打下了基础,当然还有一些基本问题,如波形幅度如何完美的还原还没搞清楚,有待进一步研究。在实际工程应用时,我们可以通过联合MATLAB、ISE、Modelsim三个工具完全脱离硬件来设计、仿真、验证F

22、IR数字滤波器的性能,肯定能够大大的缩短设计周期,提升滤波器性能。附录:附录为Verilog源代码和MATLAB源代码,这些源代码是经过调试的,是可以直接使用的。供大家参考。Verilog源代码:module FIR_Lowpass(clk,reset, Data_out);inputclk;inputreset;output31 :0Data_out;reg35 :0Data_out_reg;reg 10:0 i = 0;reg 10:0 j = 0;reg 15 :0 data_in0:2000; /定义一个16bit * 2001的数组reg 15:0 data_in_reg = 0;i

23、nitial begin/ 读出MATLAB产生的波形数据 0.5MHz_sin + 4MHz_sin 信号$readmemh(c:/matlab_wave_data.txt, data_in); /将 matlab_wave_data.txt中的数据读入存储器 data_inendwirerfd;wirerdy;wire 35:0dout;regrfd_1q;regrfd_2q;regrdy_1q;regrdy_2q;regn = 0;regm = 0;always (posedge clk) beginif(reset = 1b0) begini=1b0;m=1b0;data_in_reg

24、=16h0000;endelse beginrfd_1q=rfd;rfd_2q=rfd_1q;if(rfd_1q & rfd) begin/ rfd 信号的上升沿将输入数据加载到滤波器内核中data_in_reg=data_ini;i =i + 1;m =m;if(i = 2002)i=0;endendendFIR16_IP FIR16_IP_ins (.clk(clk), / input clk.rfd(rfd), / output rfd 在其上升沿将输入数据加载到滤波器内核中.rdy(rdy), / output rdy 在其上升沿输出滤波器的计算结果.din(data_in_reg),

25、 / input 15 : 0 din.dout(dout); / output 35 : 0 doutalways (posedge clk) beginif(reset = 1b0) beginData_out_reg=0;j=0;n=0;endelse beginrdy_1q=rdy;rdy_2q=rdy_1q;if(rdy_1q & rdy) beginData_out_reg=dout;j=j + 1b1;n =n;endendend/刚刚的问题是,matlab读一个数据是32bit的,而FIR的输出是36bit的,因此高4bit根本没有读上来。/ assignData_out31:

26、0 = Data_out_reg35:4;assignData_out31:0 = Data_out_reg35:4;/*integer wr_file;initial wr_file = $fopen(c:/FIR_in_data.txt);always ( m ) beginif(reset = 1b1) begin$fdisplay(wr_file,%h, data_in_reg);/ 33bit数if(j = 11d2002) /共写入2001个数据$stop;endend/*integer w_file;initial w_file = $fopen(c:/FIR_out.txt);

27、always ( n ) beginif(reset = 1b1) begin$fdisplay(w_file,%h, Data_out31:14);/ 33bit数if(j = 11d2002) /共写入2001个数据$stop;endendendmoduleMATLAB源代码%* MATLAB产生信号并保存到.txt文件中 *clear all;fs = 25000000; % 25M 采样率t = 0:1/fs:0.0002;% 共0.0002 * 25000000 = 5000个点f1 = 500000;f2 = 2000000;signal1 = sin(2*pi*f1*t); %

28、频率为 0.5MHz 的正弦信号signal2 = sin(2*pi*f2*t); % 频率为 4.0MHz 的正弦信号%y1 = signal1 + signal2; % 两个正弦信号叠加%x = linspace(0, 12.56, 2048); % 在区间0,6.28 = 2*pi 之间等间隔的取1024个点%y1 = sin(x); % 计算相应的余弦值% 由于正、余弦波形的值在0,1之间,需要量化成16bit,先将数值放大%y1 = y1 * 32768; % 32 * 1024 = 32768%y1 = y1 * 16384; % 32 * 1024 = 32768%y1 = y1

29、 + 32768;y2 = fix(16384 + (214 - 1) * signal1);y3 = fix(16384 + (214 - 1) * signal2);y1 = y2 + y3;% 再将放大的浮点值量化,并写道到存放在C盘的文本中fid = fopen(c:/matlab_wave_data.txt, wt);%fprintf(fid, %16.0fn, y1); % 在写文件时量化为16bit的定点实数【%16.0f,16.0表示16bit定点数,f表示实数】,范围是: -32768 - 32767fprintf(fid, %xn, y1); % 在写文件时量化为16bit

30、的定点实数【%16.0f,16.0表示16bit定点数,f表示实数】,范围是: -32768 - 32767fclose(fid);figure(1);plot(y2, b);hold on;plot(y3, b);hold on;plot(y1, r);legend(0.5MHz正弦,2MHz正弦,两者叠加);title(MATLAB产生的两个正弦信号的叠加波形);%* MATLAB回读保存到.txt文件中的信号 *fid = fopen(c:/matlab_wave_data.txt,r);for i = 1 : 5001;%num(i) = fscanf(fid, %f, 1);%从fi

31、d所指的文件中,以实数的方式读出一个数据num(i) = fscanf(fid, %x, 1);%从fid所指的文件中,以实数的方式读出一个数据endfclose(fid);figure(2);plot(num,b);legend(MATLAB从txt文件中读出的原始叠加波形数据);title(直接回读MATLAB产生的两个正弦信号的叠加波形);%* MATLAB设计FIR滤波器并对比滤波器前后的波形 *Hd = mylowfilter; % 滤波器名称output = filter(Hd, y1); % 对叠加信号 y ,进行滤波处理figure(3);plot(y2, k);hold on

32、;plot(output, r);legend(0.5MHz原始信号,滤波后取出的信号);title(信号通过MTALAB的低通滤波器后的波形);%*MATLAB回读FIR滤波器的输入数据*fid = fopen(c:/FIR_in_data.txt,r);for j = 1 : 2000;num1(j) = fscanf(fid, %x, 1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。endfclose(fid);figure(4);plot(num1,r);legend(Verilog读出的txt文件中的数据);title(FIR滤波器的输入数据);%*MATLAB回

33、读FIR滤波器滤波后的数据(FIR由Verilog实现)*fid = fopen(c:/FIR_out.txt,r);for i = 1 : 2000;num(i) = fscanf(fid, %x, 1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。endfclose(fid);figure(5);plot(num,r);y4 = y2;hold on;plot(y4, k);legend(经过FIR_IPCore滤波后的数据,0.5MHz的原始数据放大16384倍);title(经过FIR滤波器的输出数据);22书是我们时代的生命别林斯基书籍是巨大的力量列宁书是人类进步的阶梯高尔基书籍是人类知识的总统莎士比亚书籍是人类思想的宝库乌申斯基书籍举世之宝梭罗好的书籍是最贵重的珍宝别林斯基书是唯一不死的东西丘特书籍使人们成为宇宙的主人巴甫连柯书中横卧着整个过去的灵魂卡莱尔人的影响短暂而微弱,书的影响则广泛而深远普希金人离开了书,如同离开空气一样不能生活科洛廖夫书不仅是生活,而且是现在、过去和未来文化生活的源泉 库法耶夫书籍把我们引入最美好的社会,使我们认识各个时代的伟大智者史美尔斯书籍便是这种改造灵魂的工具。人类所需要的,是富有启发性的养料。而阅读,则正是这种养料雨果

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1