四位双向移位寄存器.docx

上传人:scccc 文档编号:12931322 上传时间:2021-12-07 格式:DOCX 页数:3 大小:47.88KB
返回 下载 相关 举报
四位双向移位寄存器.docx_第1页
第1页 / 共3页
四位双向移位寄存器.docx_第2页
第2页 / 共3页
四位双向移位寄存器.docx_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《四位双向移位寄存器.docx》由会员分享,可在线阅读,更多相关《四位双向移位寄存器.docx(3页珍藏版)》请在三一文库上搜索。

1、实验五 四位双向移位寄存器一、实训目的1 .巩固编译、仿真VHDL±件的方法。2 .掌握VHDLS序顺序语句的应用。3 .掌握四位双向移位寄存器的工作原理。二、实训器材计算机与Quartus H工具软件。三、实训指导(一)实训原理四位双向移位寄存器真值表如表 5-1所示。表5-1 四位双向移位寄存器的真值表输入输出CRM1M0DSRDSLCPD3D2D1D0Q3Q2Q1Q00XXXXXXXXX00001XXXX0XXXXQ3Q2Q1Q0111XXTd3d2d1d0d3d2d1d01011XTXXXX1Q3Q2Q11010XTXXXX0Q3Q2Q1110X1TXXXXQ2Q1Q0111

2、0X0TXXXXQ2Q1Q00100XXXXXXXQ3Q2Q1Q0(二)实训步骤1 .设计输入VHDa件(1)建立工程项目。(2)建立 VHDLS:件。(3)设计输入VHDLi件,可用IF语句或CASES句等顺序语句设计。VHDL弋码如下:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY YWJCQ4 ISPORT(M:IN STD_LOGIC_VECTOR(1 DOWNTO 0);D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CR,CP,DSR,DSL:IN STD_LOGIC;Q:BUFFER STD_LOGIC_VECT

3、OR(3 DOWNTO 0);END YWJCQ4;ARCHITECTURE W OF YWJCQ4 ISBEGINPROCESS(CR,CP,DSR,DSL,M,D)BEGINIF CR='0' THEN Q<="0000"ELSIF (CP='1' AND CP'EVENT) THENCASE M ISWHEN "11"=>Q<=D;WHEN "01"=>Q<=DSR&Q(3 DOWNTO 1);WHEN "10"=>Q<

4、=Q(2 DOWNTO 0)&DSL;WHEN OTHERS=>Q<=Q;END CASE;END IF;END PROCESS;END W;2 .编译仿真VHDLt件(1)编译VHDLt件。如果有错误,检查并纠正错误,直至最后通过。(2)仿真VHDLt件。认真核又t输入/输出波形,检查设计的功能是否正确。四位双向移位寄存器的仿真波形图如图 5-1所示。| 秒Rl < Fbv Sur| ,MDUN出 Siftuldm R聊1,SimulianWvgfoMiciCJQnIE1KL0 D0 q_-Ln_rLrLrLrLrLrLr_rLrLn_rLTLrLrLrLrLrLn_-Ln不一F ¥取. X血¥ ii图5-1 四位双向移位寄存器仿真波形图四、实训总结通过本次试马我掌握了 VHDLC件的编译与仿真,同时巩固了 IF语句和CASE 语句的应用。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1