数字电压表课程设计(含程序、仿真图) .doc

上传人:来看看 文档编号:3298815 上传时间:2019-08-08 格式:DOC 页数:22 大小:364.82KB
返回 下载 相关 举报
数字电压表课程设计(含程序、仿真图) .doc_第1页
第1页 / 共22页
数字电压表课程设计(含程序、仿真图) .doc_第2页
第2页 / 共22页
数字电压表课程设计(含程序、仿真图) .doc_第3页
第3页 / 共22页
数字电压表课程设计(含程序、仿真图) .doc_第4页
第4页 / 共22页
数字电压表课程设计(含程序、仿真图) .doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《数字电压表课程设计(含程序、仿真图) .doc》由会员分享,可在线阅读,更多相关《数字电压表课程设计(含程序、仿真图) .doc(22页珍藏版)》请在三一文库上搜索。

1、单片机课程设计报告单片机课程设计报告 题目:简易数字电压表题目:简易数字电压表 姓 名: 学 号: 专业班级: 指导老师: 所在学院: 2008 年 6 月 10 日 河南理工大学本科课程设计报告 1 摘要摘要 本设计是简易数字电压表,随着电子科学技术的发展,电子测量成为广大 电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压 的测量甚为突出,因为电压的测量最为普遍。本设计在参阅了大量前人设计的 数字电压表的基础上介绍一种基于 89S52 单片机的一种电压测量电路,该电路采 用 ICL7135 高精度、双积分 A/D 转换电路,测量范围直流 0-5 伏,使用 LCD 液晶模块

2、显示,可以与 PC 机进行串行通信。正文着重给出了软硬件系统的各部 分电路,介绍了双积分电路的原理,89S52 的特点,ICL7135 的功能和应用,LC D1601 的功能和应用。该电路设计新颖、功能强大、可扩展性强。本文首先简 要介绍了设计电压表的主要方式以及单片机系统的优势;然后详细介绍了直流 数字电压表的设计流程,以及硬件系统和软件系统的设计,并给出了硬件电路 的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 通过这一个星期的努力,另外通过同学的帮助,我做成了这个简易数字电压表 ,将程序键入可以显示 0-5 的不同电压值。通过此次课程设计使我对我们所 学的知识有了更

3、深的认识和体会,对自我动手的能力也提高了很多。本论文重 点介绍单片机的数字电压表的工作原理。 河南理工大学本科课程设计报告 2 目录 1 1 概述概述3 1.1 课程设计的目的和意义 3 1.2 课程设计的任务 3 2 2 系统总体方案及硬件设计系统总体方案及硬件设计 4 2.1 课程设计系统环境 4 2.2 设计原 理 4 2.3 设计方框图 4 2.4 硬件电路的设计 5 2.5 电压显示电路 7 3 3 软件设计软件设计 8 3.1 主程序设计 8 3.2 通讯模块设计设计 9 4 4 ProteusProteus 软件仿真软件仿真 11 4.1 系统初始状态 11 4.2 系统随机状态

4、 11 5 课程设计体会课程设计体会 14 参考文献参考文献 14 附附 1 1:源程序代码:源程序代码 16 附附 2 2:系统原理图:系统原理图 20 河南理工大学本科课程设计报告 3 1 1 概述概述 1.1 课程设计的目的和意义: 本次课程设计,让我学习和巩固了使用单片机的定时中断、基本的常用的 寄存器的使用方法,还有就是学习常用的外围硬件使用、电路原理图设计、PCB 设计等等。使我对已学过的基础知识有了更深入的理解,独立思考、独立工作 以及应用所学基本理化分析和解决实际问题的能力有了很大的提高。 1.2 课程设计的任务: 数字电压表(Digital Voltmeter)简称 DVM,

5、它是采用数字化测量技术, 把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示 的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求, 采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便, 还可与 PC 进行实时通信。目前,由各种单片 A/D 转换器构成的数字电压表, 已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量 领域,示出强大的生命力。与此同时,由 DVM 扩展而成的各种通用及专用数字 仪器仪表,也把电量及非电量测量技术提高到崭新水平。本论文重点介绍单片 机的数字电压表的工作原理。 河南理工大学本科课程设计报告 4 2

6、 2 系统原理及基本框图系统原理及基本框图 2.1 课程设计系统环境 (1)proteus 仿真软件 (2)Keil c51 软件调试环境或 wave 调试程序 2.2 设计原理 单片机 AT89C52 和 ADC0832 设计一个数字电压表,能够测量 05V 之间的 直流电压值,两位数码显示。重点负责单片机 AT89C52 硬件部分的功能及应用。 AT89S52 是一个低功耗,高性能 CMOS 8 位单片机,片内含 8k Bytes ISP(In- system programmable)的可反复擦写 1000 次的 Flash 只读程序存储器,器件采 用 ATMEL 公司的高密度、非易失性

7、存储技术制造,兼容标准 MCS -51 指令系统 及 80C51 引脚结构,芯片内集成了通用 8 位中央处理器和 ISP Flash 存储单元, 功能强大的微型计算机的 AT89S52 可为许多嵌入式控制应用系统提供高性价比 的解决方案。 AT89S52 具有如下特点:40 个引脚,8k Bytes Flash 片内程序存储器,256 bytes 的随机存取数据存储器(RAM) ,32 个外部双向输入/输出(I/O)口,5 个中断优先级 2 层中断嵌套中断,2 个 16 位可编程定时计数器,2 个全双工串行 通信口,看门狗(WDT)电路,片内时钟振荡器。 此外,AT89S52 设计和配置了振荡

8、频率可为 0Hz 并可通过软件设置省电模 式。空闲模式下,CPU 暂停工作,而 RAM 定时计数器,串行口,外中断系统可 继续工作,掉电模式冻结振荡器而保存 RAM 的数据,停止芯片其它功能直至外 中断激活或硬件复位。同时该芯片还具有 PDIP、TQFP 和 PLCC 等三种封装形式, 以适应不同产品的需求。 2.3 设计方框图 河南理工大学本科课程设计报告 5 2.4 硬件电路的设计 单片机采用 MCS-51 系列单片机。由 ATMEL 公司生产的 AT89S52 是一种低功 耗、高性能 CMOS8 位微控制器,具有 8K 在系统可编程 Flash 存储器。使用 Atmel 公司高密度非易失

9、性存储器技术制造,与工业 80C51 产品指令和引脚完 全兼容。在单芯片上,拥有灵巧的 8 位 CPU 和在线系统可编程 Flash,使得 AT89S52 为众多嵌入式控制应用系统提供高灵活、有效的解决方案。AT89S52 具 有以下标准功能: 8k 字节 Flash,256 字节 RAM,32 位 I/O 口线,看门狗定 时器,2 个数据指针,三个 16 位定时器/计数器,一个 6 向量 2 级中断结构, 全双工串行口,片内晶振及时钟电路。空闲模式下,CPU 停止工作,允许 RAM、 定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM 内容被保存,振 荡器被冻结,单片机一切工作停止,

10、直到下一个中断或硬件复位为止。 AT89S52单片机 单片机选用的是ATMEL公司新推出的AT89S52,如图 3.2.1.1所示。该芯片 具有低功耗、高性能的特点,是采用CMOS工艺的8位单片机,与AT89C51完全兼 容。AT89S52还有以下主要特点: 采用了ATMEL公司的高密度、非易失性存储器(NV-SRAM)技术; 其片内具有256字节RAM,8KB的可在线编程(ISP)FLASH存储器; 单片机 A/D 转 换器 AD574A 电压 显示 器 模 拟 电 压 输 入 量程 控制 电路 系统总框图 河南理工大学本科课程设计报告 6 有2种低功耗节电工作方式:空闲模式和掉电模式 AT

11、89S52 有 40 个引脚,32 个外部双向输入/输出(I/O)端口,同时内含 2 个外中断口,2 个 16 位可编程定时计数器,2 个全双工串行通信口,片上 Flash 允许程序存储器在系统可编程,亦适于常规编程器。其将通用的微处理器和 Flash 存储器结合在一起,特别是可反复擦写的 Flash 存储器可有效地降低开 发。 单片机管脚说明 VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门流。当P1口 的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它 可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作

12、为原码输入口,当 FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收 输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外 部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校 验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输 出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输 入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部 上拉的缘故。P2口当用于外部

13、程序存储器或16位地址外部数据存储器进行存取 时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对 外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2 口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门 电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入, 由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电 平

14、时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址 河南理工大学本科课程设计报告 7 的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以 不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对 外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器 时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如 果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程

15、序存储器取指期间,每个 机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信 号将不出现。 /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H- FFFFH) ,不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为 RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引 脚也用于施加12V编程电源(VPP) 。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 25 电压显示电路 设计中采用的是 8 段 LED 数码管来显示电压值。LED 具有耗电低、亮度高、

16、视角大、线路简单、耐震及寿命长等优点,它由 8 个发光二极管组成,其中 7 个按8字型排列,另一个发光二极管为圆点形状,位于右下角,常用于显示 小数点。把 8 个发光二极管连在一起,公共端接高电平,叫共阳极接法,相反, 公共端接低电平的叫共阴极接法,我们采用共阳极接法。当发光二极管导通时, 相应的一段笔画或点就发亮,从而形成不同的发光字符。其 8 段分别命名为 dp g f e d c b a。例如,要显示“0” ,则 dp g f e d c b a 分别为:1100 0000B;要显示“A” ,则 dp g f e d c b a 分别为:0001 0001B(共阳极) 。若 要显示多个数

17、字,只要让若干个数码管的位码循环为低电平就可以了。 根据设计要求,显示电路需要至少 4 位 LED 数码管来显示电压值,我们再多加 一位用来显示电压单位“V” ,则有 7 位 LED 循环显示。利用单片机的 I/O 口驱 动 LED 数码管的亮灭,设计中由 P0 口驱动 LED 的段码显示,即显示字符,由 P2 口选择 LED 位码,即选择点亮哪位 LED 来显示。 河南理工大学本科课程设计报告 8 3 3 系统的软件设计系统的软件设计 3.1 主程序设计 ICL7135A/D 与单片机连接电路的软件设 计系统的程序流程图如图所示。主程序一开始 运行则设置堆栈起始地址为 70H,设置中断寄 存

18、器,用来对 ICL7135 的中断进行计数,每 5 次后清零,完成一次数据采集工 作,然后设置 ICL7135的 STB 端的中断的优先级。紧接着 LCDM1601B 进行一次 清屏,使其各个指令、数据寄存器的值进行清空,屏幕不显示任何字符。以前 面对 1601B 的介绍,只要将 01H 送到数据总线,使 RS=0,R/W=0,E 有个下降沿 的脉冲就可以完成清屏工作。用以下指令实现 MOV P2,#01H ;送到数据 DB7-DB0,调用子程序 ENABLE,由于下降沿时,内部数据要送到 RAM 区,所 以要有一个延时子程序,使这个下降沿持续 2.5 毫秒。内部 RAM 有指令代码后 就开始

19、对 RAM 进行清零,所以屏幕原有的字符将被清除。接着对 1601 进行功能 的设定。MOV P2,#01111000B,按表 3.4.5 来看是设定 显示器按 2 行显示,每行 8 位,57 点阵。 调用一次子程序 ENABLE 程序,写入 CPU 的指令寄存器中。每次向 LCDM 中写入 一个指令,就调用一次 ENABLE,然后再对显示器进行闪烁、光标等功能进行设 定。显示器的 RAM 地址按加方法进行读写。再设定第一行字符,也就是 Voltage的显示地址 80H。字符Voltage的 TABLE 表地址送到 DPTR 中, 然后调用远程查表命令,依次把数据送到 P2 口,这时再调用子程

20、序 WRITE3, 使 LCD1601 的 RS=1,R/W=0 使使能端 E 产生一个下降沿脉冲,将数据送入到数 图 4.1.1 主程序流程图 河南理工大学本科课程设计报告 9 据寄存器中,接下来执行子程序 DISPLAY1,它的主要功能是将 TABLE 表中的字 符输出到 LCD 中去。调定好显示字符数即远程查表的次数,就开始查表了。 例如第一个字符“V”的 ASCII 码是 56H,就将这个码送到 P2 口,再调用 使能数据子程序,使 RS=1(数据区使能)写 入显示数据区,R/W=0 表示写,E=来个下降 沿延时 2.5 毫秒,使数据写入 RAM 内。完成 TABLE 表输出以后,向指

21、令 RAM 中写入第 2 行的起始地址为 OCH,再调用显示采样数据 的子程序。采样数据存放的数据地址安排如下图所示,首先将 60H 中的数显示在 正负号的位置上,按照 ASCII 码表,正号不显示(#20H) ,负号显示“” (#2DH) 。 3.2 通讯模块设计设计 89S52 单片机内部有一个全双工的串行通信口,即串行接收和发送缓冲器 (SBUF) ,这两个在物理上独立的接收发送器,既可以接收数据也可以发送数据。 但接收缓冲器只能读出不能写入,而发送缓冲器则只能写入不能读出,它们的 地址为 99H。这个通信口既可以用于网络通信,亦可实现串行异步通信,还可 以构成同步移位寄存器使用。如果在

22、传行口的输入输出引脚上加上电平转换器, 就可方便地构成标准的 RS-232 接口。89S52 的串行口有 4 种工作方式,1 种同 步方式,3 种异步方式。本方式选方式 1,一帧数据有 10 位,包括起始位 0、8 位数据位和 1 位停止位 1。串行口电路在发送时能自动加入起始位和停止位。 在接收时,停止位进入 SCON 中的 RB8 位。方式 1 的波特率是可变的,由定时器 1 的溢出率决定。由定时器 1 最好工作在方式 2 上(自动重装载模式) ,这样只 需对 TH1 设置一次即可。数据通过 TXD 输出,在 8 个位输出完毕后,SCON 寄存 器的 TI 位被设为 1,CPU 只要判断

23、TI 是 1,接着发送下一个字节。 波特率的设定:定时器 T1 工作在方式 2 的初值为: 为了减小误差,时钟振荡频率采用 11.0592MHz,选用定时器 T1 工作在方式 2 作波特率发生器,波特率为 300,设 SMOD 为 0,依公式(1.1)得初值为: 图 4.1.2 数据地址 (1.1) 河南理工大学本科课程设计报告 10 所以 TH1 = TL1 = A0H MOV SCON ,#50H ;URAT 工作在方式 1 上 MOV TMOD ,#20H ;TIME1 工作在方式 2 上 MOV TH1 , #0A0H ;设置波特率为 300 本设计的中断十分重要,为了减少相互间的干扰

24、,保证可靠性,采用查询 方式判断是否发送完毕。 A6: JBC TI , A5 ;如果发送完毕跳,清标志位,跳到 A5。 AJMP A6 ;否则跳到 A6,等待 A5: INC R0 河南理工大学本科课程设计报告 11 4 4 ProteusProteus 软件仿真软件仿真 用伟福软件编辑程序,在仿真设置中选择 lab2000p 仿真实验仿真器,选择 8088/86 实验仿真头,选择 8088/86CPU 进行汇编程序编辑,然后进行 proteus 进行仿真,其仿真图如下: 4.1 系统初始状态如图: 河南理工大学本科课程设计报告 12 4.2 系统的随机状态: 电压为 0.50V 时显示如图

25、: 电压为 1.50V 时显示如图: 河南理工大学本科课程设计报告 13 电压为 4.00V 时显示如图: 河南理工大学本科课程设计报告 14 5 5 课程设计体会课程设计体会 通过一周的课程能够设计,使我深刻的认识到单片机的应用已经在工业、 电子等方方面面展示出了它的优越性,利用单片机在设计电路逐渐成了趋势, 它与外围的简单电路再加上优化程序就可以构建任意的产品,使得本设计成为 现实。随着单片机的日益发展,它必将在未来显示出更大的活力,为电子设计 增加更多精彩。 同时我也学到了很多书本上学习不到的东西,不仅使我加深了对单片机理 论的理解,将理论很好地应用到实际当中去,而且我还学会了如何去培养

26、我们 的创新精神,从而不断地战胜自己,超越自己。创新,是要我们学会将理论很 好地联系实际,并不断地去开动自己的大脑,从为人类造福的意愿出发,做自 己力所能及的,别人却没想到的事。使之不断地战胜别人,超越前人。同时, 更重要的是,我在这一设计过程中,学会了坚持不懈,不轻易言弃。设计过程, 也好比是我们人类成长的历程,常有一些不如意,也许这就是在对我们提出了 挑战,勇敢过,也战胜了,胜利的钟声也就一定会为我们而敲响。 河南理工大学本科课程设计报告 15 这个设计过程中,我遇到过许多次失败的考验,就比如,自己对实际生活 中的交通秩序的不了解给整个设计带来的困扰,真想要就此罢休,然而,就在 想要放弃的

27、那一刻,我明白了,原来结果并不那么重要,我们更应该注重的是 这一整个过程。于是,我坚持了下来。 最后,终于按要求把作品做出来了,虽然看似很简单,但是对我们的实际 动手能力却是很考验的,这也对我们今后的工作敲响了警钟:要认真的看待每 个需要处理的问题,不要认为事情过于简单,不能急于求成,更不要轻易说放 弃,要保持你的头脑清醒。 这次单片机课程设计给我的最大的印象就是努力的动手去做,困难在你的 勇气和毅力下是抬不了头的。从做这个设计开始无论遇到什么困难,我都没有 一丝的放弃的念头。出于对知识的渴望,出于对新技术的好奇,出于对一切未 知的求知。 希望以后有更多的机会多多参与这样的设计和实习,锻炼我们

28、的动手能力, 为今后的工作和学习奠定基础。 河南理工大学本科课程设计报告 16 参考文献参考文献 1 余发山.单片机原理及应用.徐州:中国矿业大学出版社,2003 2 谭浩强.C 语言设计(第二版).北京:清华大学出版社,2006 3 吴金戌,沈庆阳,郭庭吉.8051 单片机实践与应用M.北京:清华大 学出版社,2002. 4 刘伟,赵俊逸,黄勇, 一种基予 C8051F 单片机的 SOC 型数据采录器的设 计与实现 A.天津市计算机学会单片机分会编. 2003 年全国单片机及嵌 如入式系统学术年会论文集(下册) C .北京:北京航空航天大学出版社, 2003 .790-794 5 何立民.单

29、片机应用技术大全.北京:北京航空航天大学出版社, 1994 附 1 源程序代码 ORG 0000H AJMP MAIN ORG 000BH ;定时器 T0 的入口 AJMP DISP ;显示程序 ORG 0030H MAIN: MOV SP,#5FH ;设置堆栈 MOV P1,#0FFH MOV P0,#0FFH MOV P2,#0FFH ;初始化,所显示器,LED 灭 MOV TMOD,#00000001B ;定时器 T0 工作于模式 1(16 位定时/计数模式) MOV TH0,#HIGH(65536-2000) MOV TL0,#LOW(65536-2000) SETB TR0 ;开定时

30、器 0 SETB EA ;开总中断 SETB ET0 ;开定时器 0 中断 河南理工大学本科课程设计报告 17 MOV COUNTER,#0 ;计数器初始化 LOOP: LCALL ADC_DEMO ;调用 AD 子程序 AJMP LOOP ;/= ;/ 启动 AD 转换 ;/= ADC_START: CLR AD_CS NOP CLR AD_WR NOP SETB AD_WR NOP SETB AD_CS NOP RET ;/= ;/ 读 AD 转换 ;/= ADC_READ: MOV AD_INPUT_PORT,#0FFH CLR AD_CS NOP CLR AD_RD NOP NOP M

31、OV A,AD_INPUT_PORT NOP SETB AD_RD NOP SETB AD_CS RET ;/= ;/ AD 转换读取延时程序,显示读到的数值 ;/= ADC_DEMO: 河南理工大学本科课程设计报告 18 LCALL ADC_START LCALL DELAY1MS LCALL ADC_READ MOV B,#100 ;AD 转换出的值除 100 商为百位数 DIV AB ; 取百位数 余数在 B 寄存器 MOV DISPBUF,A ;把百位存入百位寄存器 DISPBUF MOV A,B ;余数再送 A MOV B,#10 ;余数除 10 商为十位数 DIV AB ; 除法,

32、余数在寄存器 B MOV DISPBUF+1,A ;A 是十位数存第二位显示寄存器 MOV DISPBUF+2,B ; B 是个位数存第三位显示寄存器 RET DISP: ;定时器 T0 的中断响应程序动态扫描 PUSH ACC ;ACC 入栈 PUSH PSW ;PSW 入栈 MOV TH0,#HIGH(65536-2000);定时时间为 2000 个周期,约 2170 微秒 MOV TL0,#LOW(65536-2000) SETB FIRST SETB SECOND ;关显示 SETB THEER MOV A,#DISPBUF ;显示缓冲区首地址 ADD A,COUNTER MOV R0

33、,A MOV A,R0 ;根据计数器的值取相应的显示缓冲区的值 MOV DPTR,#DISPTAB ;字形表首地址 MOVC A,A+DPTR ;取字形码 MOV P0,A ;将字形码送 P0 位(段口) MOV A,COUNTER ;取计数器的值 JZ DISPFIRST ;如果是 0 则显示第一位 DEC A JZ DISPSECOND ;如果是 1 显示第二位 CLR THEER ;否则显示第 3 位 AJMP DISPNEXT DISPFIRST: CLR FIRST ;显示第一位 AJMP DISPNEXT DISPSECOND: 河南理工大学本科课程设计报告 19 CLR SECO

34、ND DISPNEXT: INC COUNTER ;计数器加 1 MOV A,COUNTER DEC A ;如果计数器计到 3,则让它回 0 DEC A DEC A JZ RSTCOUNT AJMP DISPEXIT RSTCOUNT: MOV COUNTER,#0 ;计数器的值回 0 DISPEXIT: POP PSW POP ACC RETI DISPTAB:DB 28H,7EH,0A2H,62H,74H,61H,21H,7AH,20H,60H ;字形代码表 ;0 1 2 3 4 5 6 7 8 9 DELAY1MS: ;延时子程序 MOV R7,#10 MM:MOV R6,#50 DJNZ R6,$ ;2US DJNZ R7,MM RET END 河南理工大学本科课程设计报告 20 附 2 系统原理图 河南理工大学本科课程设计报告 21

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1