VHDL的串行同步通信SPI设计说明.doc

上传人:scccc 文档编号:13595241 上传时间:2022-01-19 格式:DOC 页数:17 大小:338KB
返回 下载 相关 举报
VHDL的串行同步通信SPI设计说明.doc_第1页
第1页 / 共17页
VHDL的串行同步通信SPI设计说明.doc_第2页
第2页 / 共17页
VHDL的串行同步通信SPI设计说明.doc_第3页
第3页 / 共17页
亲,该文档总共17页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《VHDL的串行同步通信SPI设计说明.doc》由会员分享,可在线阅读,更多相关《VHDL的串行同步通信SPI设计说明.doc(17页珍藏版)》请在三一文库上搜索。

1、课程设计课程名称-电子技术综合设计与实训题目名称 基于 VHDL的串行同步通信 SPI设计学生学院自动化专业班级电子信息科学与技术学 号 3107001554学生振添指导教师_蔡述庭2009 年 12 月 21 日工业大学课程设计任务书题目名称基于VHD啲串行同步通信SPI设计学生学院自动化专业班级电子信息科学与技术姓名振添学号3107001554、课程设计的容设计一个同步串行通讯 SPI二、课程设计的要求与数据设计要求包括:1. 深入了解串行通信的方案容和协议,思考设计方法。2. 设计串行通信方案,并写好传输程序VHDL3. 下载到DE2板调试,检测其可行性。三、课程设计应完成的工作1. 利

2、用VHDL语言编程实现 SPI ;2. 利用DE2板对所设计的思想进行验证;3. 总结VHDL设计结果,撰写课程设计报告。四、课程设计进程安排序号设计各阶段容地点起止日期1任务的确定-spi实验2号楼21412.142查找资料实验2号楼30712.153编写SPI的各个模块VHDLg序实验2号楼21612.164仿真,分配管脚实验2号楼21412.175验证修改验收实验2号楼21412.186整理说明书,报告实验楼12.19五、应收集的资料及主要参考文献1 PIC 单片机原理及应用(第 3版) 航天航空大学2黄智伟,王彦 FPGA系统设计与实践M :电子工业,2005发出任务书日期:年月日指导

3、教师签名:计划完成日期:年月日基层教学单位责任人签章:主管院长签章:摘要本设计是用Quartus作为开发环境,以DE2板为硬件平台实现的SPI同步串行通讯。设 计过程方便。根据接收和发送两个主要部分实现了 SPI 的基本功能。此外,该设计还实 现了波特率发生器,数码管显示的功能。用DE2板实现具有电路简洁,开发周期短的优点。充分利用了 EDA设计的优点。开发过程用了 VHDL硬件描述语言进行描述,从底层设 计,分模块进行,充分提高了设计者的数字逻辑设计的概念。关键词:SPI,同步串行通讯,Quartus,DE2板, VHDL硬件描述语言。目录1 引言 62 SPI 简介 62.1 SPI 协议

4、和工作原理 62.2 波特率 73 模块设计 73.1 顶层模块 RTL 综合 73.2 波特率发生器模块 93.3 SDO 数据发送模块 103.4 SDI 数据接收模块 113.5 数码管显示模块 124 实验验证 134.1 实验验证方案选择 134.2 实验现象 135 结论与问题讨论 135.1 完成设计要求的程度 135.2 遇到的问题及解决方法 145.3 存在的不足及改进思路 145.4 心得体会 14参考文献 151 引言 串行扩展通信接口是器件间进行数据交换的平台和重要渠道。主控同步串 行通信模块主要应用于系统部近距离的串行通讯,如SPIFC等。SPI是英文 Serial

5、Peripheral Interface 的缩写,中文意思是串行外围设备接口, SPI 是 Motorola 公司推出的一种同步串行通讯方式, 是一种三线同步总线, 因其硬件功能很强,与SPI有关的软件就相当简单,使CPUt更多的时间处 理其他事务。2 SPI 简介2.1 SPI 协议和工作原理顾名思义,串行接口的数据传输方式是串行的,即数据是一位一位地进行传输虽然串行接口的传输方式导致其传输速度会比较慢, 但是它却具有较强的抗干扰能力, 并能有较长的传 输距离, RS232 口的最大传输距离为 15m。SPI 接口主要应用在 EEPROM ,FLASH ,实时时钟, AD 转换器,还有数字信

6、号处理器和 数字信号解码器之间。 SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚 上只占用四根线,节约了芯片的管脚,同时为 PCB 的布局上节省空间,提供方便,正是出 于这种简单易用的特性,现在越来越多的芯片集成了这种通信协议,比如AT91RM9200.SPI 的通信原理很简单,它以主从方式工作,这种模式通常有一个主设备和一个或多个从设 备,需要至少4根线,事实上3根也可以(单向传输时)。也是所有基于SPI的设备共有的, 它们是SDI (数据输入),SDO (数据输出),SCK (时钟),CS (片选)。( 1) SDO - 主设备数据输出,从设备数据输入( 2) SDI -

7、主设备数据输入,从设备数据输出( 3) SCLK - 时钟信号,由主设备产生( 4) CS - 从设备使能信号,由主设备控制其中CS是控制芯片是否被选中的, 也就是说只有片选信号为预先规定的使能信号时 (高 电位或低电位) ,对此芯片的操作才有效。这就允许在同一总线上连接多个SPI 设备成为可能。接下来就负责通讯的 3根线了。通讯是通过数据交换完成的, 这里先要知道SPI是串行 通讯协议, 也就是说数据是一位一位的传输的。这就是 SCK 时钟线存在的原因,由 SCK 提 供时钟脉冲, SDI, SDO 则基于此脉冲完成数据传输。数据输出通过 SDO 线,数据在时钟 上升沿或下降沿时改变, 在紧

8、接着的下降沿或上升沿被读取。 完成一位数据传输, 输入也使 用同样原理。这样,在至少 8 次时钟信号的改变(上沿和下沿为一次) ,就可以完成 8 位数 据的传输。SPI 是一个环形总线结构,由 ss( cs)、 sck、 sdi、 sdo 构成,其时序其实很简单,主要是 在 sck 的控制下,两个双向移位寄存器进行数据交换。假设下面的 8 位寄存器装的是待发送的数据 10101010,上升沿发送、下降沿接收、高位先发送。那么第一个上升沿来的时候数据将会是sdo=1;寄存器中的10101010左移一位,后面补入送来的一位未知数 x,成了 0101010X。下降沿到来的时候,sdi上的电平将锁存到

9、寄存 器中去,那么这时寄存器 =0101010sdi,这样在8个时钟脉冲以后,两个寄存器的容互相交 换一次。这样就完成里一个 spi 时序。2.2 波特率这是一个衡量通信速度的参数。它表示每秒钟传送的 bit 的个数。例如 300 波特表示每 秒钟发送300个bit。当我们提到时钟周期时, 我们就是指波特率例如如果协议需要 4800波 特率,那么时钟是 4800Hz。这意味着串口通信在数据线上的采样率为4800Hz。通常线的波特率为 14400, 28800 和 36600。波特率可以远远大于这些值,但是波特率和距离成反比。串行 口每秒发送或接收数据的码元数为传码 ,单位为波特, 也叫波特率。

10、 若发送或接 收一位数据所需时间为T,则波特率为1 / T,相应的发送 或接收时钟为1 / T Hz。发送和接收设备的波特率应 一致。位 同步是实现收发双方的码元同步, 由数据传输系统的 同步控制电路实现。发送端由发送时钟 的定时脉冲对数据序列取样再生,接收端由接收时 钟的定时脉冲对接收数据序列取样判断,恢复原来的数据序列。因此,接收时钟和发送时钟必须同频 同相,这是由接收端的定时提取和锁相环 电路实现 的。传码率与位同步必须 同时满足 。否则,接收设备接收不到有效信息。3 模块设计3.1 顶层模块 RTL 综合顶层文件设计, 将波特率发生模块, 数据发送模块,数据接收模块,和数码显示模块通

11、过例化语句组合成总的顶层模块。 其中数据发送模块为并行输入串行输出模块, 在时钟的上 升沿发送一位数据, 共需要 8 个时钟脉冲即可发送完一字节数据。 数据接收模块为串行输入 并行输出模块, 串行输入的数据来自数据发送模块,在时钟的上升沿接收数据,即由“自己 发送的数据自己同步接收” 来模拟主从器件间数据的全双工传输。 数码管显示模块则是循环 显示 08 数字,每循环一次代表传输完一字节。以下是顶层模块 VHDL 源程序:library ieee;entity spi isport(clk,stop,load: in std_logic;data_in: std_logic_vector(7

12、downto 0 );deng_out : out std_logic_vector(7 downto 0 );shuma_out : out std_logic_vector(6 downto 0 );end spi;architecture one of spi iscomponent sdiport(clk_sdi : in std_logic;sdin : in std_logic;load : in std_logic;shuma:out in teger range 0 to 8;sdi_out : out std_logic_vector(7 dow nto 0 );end co

13、mp onent;comp onent sdoport(clk_sdo,load : in std_logic;sdo_ in : in std_logic_vector(7 dow nto 0);sdo_out : out std_logic);end comp onent;compo nent SHUMGport(num:in INTEGER RANGE 0 TO 8;dout:out std_logic_vector(6 dow nto 0);end comp onent;comp onent fenpinport(clk,stop:i n std_logic;clok:out std_

14、logic);end comp onent;sig nal A,B: std_logic;sig nalC : INTEGER range 0 to 8;beginu1:sdi port map(sdi_out=de ng_out,clk_sdi=A,sdi_ in=B,shuma=C,load=load);u2:sdo port map(sdo_out=B,sdo_i n=data_i n,l oad=load,clk_sdo=A);u3:SHUMG port map(dout=shuma_out, nu m=C);u4:fenpin port map(clk=clk,stop=stop,c

15、lok=A);end one ;综合后为:3.2波特率发生器模块由于SPI同步串行通讯的缺点是波特率不高,通常常用的SPI波特率有2400, 4800,9600,19200等比较低的波特率,晶振的频率一般都比较高,需要分频后才能供给SPI使用。假设采用6MHZ的晶振作为外部时钟,那么要产生9600波特率的时钟信号,则需要对6MHZ 的时钟进行625分频。除此之外,为了提高接收电路接收数据的准确度,采取“过采样法” 对发送来的同一个数据进行多次采样,这里对数据进行三次采样取平均值。 输入6 MHz的时钟,经过计数分频后得到9600 Hz的接收时钟信号和脉冲出现的频率是波特率的3倍的采样时钟信号。

16、下面是实现该功能的VHDL程序:library ieee;en tity fenpin isport(clk,stop:i n std_logic;clok,clk3:out std_logic);end fenpin;architecture one of fenpin isbeginprocess(clk)variable counter:integer range 0 to 625;beginif stop = 1 the nif clkeve nt and clk=1the nif coun ter=625 the n coun ter:=0;clok=1;else coun ter:

17、=co un ter+1;clok=0;end if;end if;if(cou nter=106 or coun ter=313 or cou nter=520)then clk3=1;else clk3=0;end if;end if;end process;end one;其中,c l k为6 MHz的时钟;c l o k为9 6 0 0 Hz 的接收时钟;c l k3为脉冲出现的频率 是波特率的3倍的采样时钟。3.3 SDO数据发送模块发送电路是在时序脉冲的控制下,利用移位寄存器并行输入串行输出的把数据一位一位的送 出去。VHDL源程序如下:library ieee;use ieee.

18、std_logic_ un sig ned.all;en tity sdo isport(clk_sdo,load : in std_logic;sdo_ in : in std_logic_vector(7 dow nto 0);sdo_out : out std_logic);end sdo;architecture one of sdo issig nal buff : std_logic_vector(7 dow nto 0);beginprocess(clk_sdo)beginif clk_sdoeve nt and clk_sdo = 1 the nif load = 1 the

19、nbuff=sdo_ in;else buff(7 downto 1) = buff(6 downto 0);end if;end if;sdo_out 0lu-aiE-4J1 U U L LJ L U U U U U U 1. 1 .1. 1 U U LI 口TLnio:)1l-8sd*_iiuLTJ-sdo-旦dq-zdo-s:d.oIII1ir0in町 mrsiinh in3 incn inti 13.4 SDI数据接收模块接收电路的功能是在时钟控制下,采样串行输 入端口上的数据,执行采样判断, 检测帧同步标志,把后续数据依次送达对应的并行输出端口上。下面是实现上述功能的VHDL源程序:

20、library ieee;use ieee.std_logic_ un sig ned.all;en tity sdi isport(clk_sdi : in std_logic;sdin : in std_logic;shuma:out in teger range 0 to 8;sdi_out : out std_logic_vector(7 dow nto 0 ); end sdi;architecture one of sdi issig nal buff : std_logic_vector(7 dow nto 0);beginprocess(clk_sdi)variable cou

21、t : in teger range 0 to 8 ; beginif clk_sdieve nt and clk_sdi = 1 the nif cout=8 the n cout:=0;sdi_out=buff;else buff(7-cout)=sdi_ in;cout := cout + 1 ;end if;end if;shuma = cout;end process;end one ;数据一位一位的接收,接收一位就把它存放于接收缓冲寄存器,等待缓冲器满后就并行输出数据由程序读取。如仿真图所示,串行传来的两字节数据10101010和01010101,数码管循环显示从08,当显示8时代

22、表缓冲器满,并串行输出数据,SDI_OUT为串行输出的数据。3.5 数码管显示模块library ieee;port(num:in INTEGER RANGE 0 TO 8; dout:out std_logic_vector(6 downto 0) );end SHUMG;architecture a1 of SHUMG isbeginwith num selectdout=1111110 when 0,0110000 when 1,1101101 when 2,1111001 when 3,0110011 when 4,1011011 when 5,1011111 when 6,11100

23、00 when 7,1111111 when 8,0000000 when others;end a1;从 08 循环显示, 8 代表数据传输完数码管显示模块用来方便表示出数据传输的过程, 毕准备传送或接收下一字节数据。4 实验验证4.1 实验验证方案选择由于要在 DE2 板上验证 SPI 有一定难度。我们的方案一就是利用串口调试助手, PC机作为从器件,目标板做为主器件进行SPI通讯。我们的方案二是完全在 DE2 板上模拟主从同步串行通讯, 但是由于考虑到 DE2 板上可以用的验证设备 (开 关和 LED 灯)不够,所以我们决定在 DE2 版上对所设计的 SPI 模块进行调整,自己发送的 数

24、据自己接收,模拟实现了主从之间通过不串行通讯。在这里我们采用的是方案 2,优点是易于实现。我们把 SDO 发送数据模块直接接到了 SDI 数据接收模块, 因此我们只要验证: 通过发送以自己数据, 待 8 个时序脉冲后数据发送完的 同时也被接收,则说明我们的思路正确。4.2 实验现象说明:开关 SW8 代表 LOAD 数据装载。开关 SW9 代表时钟时能SW0SW7 作为发送数据的数据缓冲器,即发送模块数据输入端,存待发送的数据。LED0LED7 读取数据接收模块的数据接收状态。数码管循环显示 08.当我们拨动开关,准备好待发送数据时,先 LOAD 把数据送入缓冲区,然后使时钟时能, 这是开始发

25、送和接收数据同步。 数码管从 08 显示, 当数码管显示 8 时, 灯的状态与开关的 状态相对应,灯亮代表数据位 1,灯灭为 0.然后我们准备第二字节数据。发送和接收数据区 间如果取消时钟时能则可以使数据暂停传输。5 结论与问题讨论5.1 完成设计要求的程度本设计在完成了基本部分外, 还实现了轮流显示每字节数据的传输情况情况的功能。 完 成了这次设计的任务。5.2 遇到的问题及解决方法遇到的问题有数据收发不同步,数据收发不准确,不知道如何验证正确性等问题。 对于数据收发不同步, 我们采取了使用同频同相的时钟信号, 同在时钟的上升沿接收和 发送数据。对于数据收发不准确,我们经过老师的点拨,采取

26、OVERSAMPLING 的方法,即过采 样的技术,对发送来的数据进行多次采样取其平均值的方法解决了该问题。5.3 存在的不足及改进思路1,因为验证环境有限, 对于该模块的数据准确度还有待验证。改进思路:对于该模块编写一个专门验证的程序,即特色的编辑,以验证 其正确性。5.4 心得体会这次实验对比上学期的实验有了很大的进步, 这跟知识的积累和练习是分不 开的。 这个设计我采用比较正规的方式,在确定方案前,先去查找相关的 资料,从懵懵懂懂的 SPI 到精确掌握其中的协议规则。 查找到了模块化设 计的方法。确定了从上到下的设计方式。规划好各个模块及输入输出端后, 就开始了各个模块VHDL源程序编写

27、。最综合处了整个系统。在这次设计中 我积极的向同学,老师请教,比如波特率问题,过采样问题,数据收发不同 步问题,验证问题,特色编辑问题等,避免走了弯路。整个流程下来真的锻 炼了我们独立设计的能力和团队协作的能力。 最终看到了预想中的结果。 这 是确实令人高兴的。 当然这次设计还存在些不足, 就是仿真方面有点问题没 有解决就在硬件上验证和修改了。 相对来说, 在硬件上验证比较直观的找出 问题所在。 还需在软件平台上编写特色的编辑, 验证其真正的正确性。 这些 都得在以后的学习工作中注意。参考文献1PIC 单片机原理及应用(理解 SPI 原理)2 FPGA与 VHDL设计与实践 MAX+plusU与Quartus U双剑合璧 国防工业

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1